####################################################################### ## File: mor1k_tile.SOC ## ## Copyright (C) 2014-2016 Alireza Monemi ## ## This file is part of ProNoC 1.9.0 ## ## WARNING: THIS IS AN AUTO-GENERATED FILE. CHANGES TO IT ## MAY CAUSE UNEXPECTED BEHAIVOR. ################################################################################ $soc = bless( { 'tile_diagram' => { 'show_unused' => 1, 'show_clk' => 1, 'show_reset' => 1 }, 'parameters_order' => { 'Unset-intfc' => [ 'uart-RxD_din_sim', 'uart-RxD_ready_sim', 'uart-RxD_wr_sim', 'bus-snoop_adr_o', 'bus-snoop_en_o' ] }, 'sim_uart0' => { 'version' => 7 }, 'verilator' => { 'libs' => { 'Vtop' => 'mor1k_tile.v' } }, 'ni_master0' => { 'version' => 59 }, 'compile_assign_type' => { 'ss_clk_in' => 'Direct', 'ni_credit_in' => 'Direct', 'ss_reset_in' => 'Direct', 'ni_flit_in_wr' => 'Direct', 'ni_current_x' => 'Direct', 'ni_current_y' => 'Direct', 'cpu_cpu_en' => 'Direct', 'ni_flit_in' => 'Direct' }, 'modules' => {}, 'timer0' => { 'version' => 9 }, 'instances' => { 'ni_master0' => { 'description_pdf' => '/mpsoc/src_peripheral/ni/NI.pdf', 'plugs' => { 'clk' => { 'value' => 1, 'nums' => { '0' => { 'connect_socket_num' => '0', 'name' => 'clk', 'connect_socket' => 'clk', 'connect_id' => 'clk_source0' } }, 'type' => 'num', 'connection_num' => undef }, 'reset' => { 'nums' => { '0' => { 'connect_socket_num' => '0', 'connect_socket' => 'reset', 'name' => 'reset', 'connect_id' => 'clk_source0' } }, 'type' => 'num', 'connection_num' => undef, 'value' => 1 }, 'wb_slave' => { 'value' => 1, 'nums' => { '0' => { 'addr' => '0xb800_0000 0xbfff_ffff custom devices', 'connect_id' => 'wishbone_bus0', 'base' => 3087007744, 'end' => 3087008767, 'connect_socket' => 'wb_slave', 'name' => 'wb_slave', 'width' => 10, 'connect_socket_num' => '3' } }, 'type' => 'num', 'connection_num' => undef }, 'interrupt_peripheral' => { 'nums' => { '0' => { 'connect_socket_num' => '0', 'connect_socket' => 'interrupt_peripheral', 'name' => 'interrupt', 'connect_id' => 'mor1kx0' } }, 'type' => 'num', 'connection_num' => undef, 'value' => 1 }, 'wb_master' => { 'nums' => { '0' => { 'connect_id' => 'wishbone_bus0', 'connect_socket_num' => '0', 'connect_socket' => 'wb_master', 'name' => 'wb_send' }, '1' => { 'name' => 'wb_receive', 'connect_socket' => 'wb_master', 'connect_socket_num' => '1', 'connect_id' => 'wishbone_bus0' } }, 'type' => 'num', 'connection_num' => undef, 'value' => 2 } }, 'category' => 'NoC', 'sockets' => { 'ni' => { 'nums' => { '0' => { 'name' => 'ni' } }, 'type' => 'num', 'connection_num' => 'single connection', 'value' => 1 } }, 'module' => 'ni_master', 'instance_name' => 'ni', 'parameters' => { 'DEBUG_EN' => { 'value' => '0' }, 'ROUTE_NAME' => { 'value' => '"XY"' }, 'CONGESTION_INDEX' => { 'value' => 3 }, 'C' => { 'value' => 0 }, 'AVC_ATOMIC_EN' => { 'value' => 0 }, 'ESCAP_VC_MASK' => { 'value' => '2\'b01' }, 'MAX_TRANSACTION_WIDTH' => { 'value' => '13' }, 'B' => { 'value' => '4' }, 'RAw' => { 'value' => '16' }, 'V' => { 'value' => '2' }, 'Dw' => { 'value' => '32' }, 'EAw' => { 'value' => '16' }, 'WEIGHTw' => { 'value' => '4' }, 'FIRST_ARBITER_EXT_P_EN' => { 'value' => 1 }, 'TOPOLOGY' => { 'value' => '"MESH"' }, 'MUX_TYPE' => { 'value' => '"BINARY"' }, 'ADD_PIPREG_AFTER_CROSSBAR' => { 'value' => '1\'b0' }, 'MIN_PCK_SIZE' => { 'value' => '2' }, 'T1' => { 'value' => 2 }, 'SWA_ARBITER_TYPE' => { 'value' => '"RRA"' }, 'COMBINATION_TYPE' => { 'value' => '"COMB_NONSPEC"' }, 'CRC_EN' => { 'value' => '"NO"' }, 'TAGw' => { 'value' => '3' }, 'Fw' => { 'value' => '2+V+Fpay' }, 'T2' => { 'value' => 2 }, 'M_Aw' => { 'value' => '32' }, 'MAX_BURST_SIZE' => { 'value' => '16' }, 'SELw' => { 'value' => '4' }, 'Fpay' => { 'value' => '32' }, 'T3' => { 'value' => 4 }, 'VC_REALLOCATION_TYPE' => { 'value' => '"NONATOMIC"' }, 'SSA_EN' => { 'value' => '"YES"' }, 'S_Aw' => { 'value' => '8' } }, 'module_name' => 'ni_master', 'parameters_order' => [ 'TOPOLOGY', 'ROUTE_NAME', 'T1', 'T2', 'T3', 'C', 'V', 'B', 'Fpay', 'MAX_TRANSACTION_WIDTH', 'MAX_BURST_SIZE', 'DEBUG_EN', 'Dw', 'S_Aw', 'M_Aw', 'TAGw', 'SELw', 'Fw', 'CRC_EN', 'RAw', 'EAw' ] }, 'clk_source0' => { 'parameters' => {}, 'instance_name' => 'ss', 'parameters_order' => [], 'module_name' => 'clk_source', 'category' => 'Source', 'module' => 'clk_source', 'sockets' => { 'reset' => { 'connection_num' => 'multi connection', 'nums' => { '0' => { 'name' => 'reset' } }, 'type' => 'num', 'value' => 1 }, 'clk' => { 'connection_num' => 'multi connection', 'nums' => { '0' => { 'name' => 'clk' } }, 'type' => 'num', 'value' => 1 } }, 'plugs' => { 'reset' => { 'type' => 'num', 'nums' => { '0' => { 'name' => 'reset', 'connect_socket' => undef, 'connect_socket_num' => undef, 'connect_id' => 'IO' } }, 'connection_num' => undef, 'value' => 1 }, 'clk' => { 'value' => 1, 'connection_num' => undef, 'nums' => { '0' => { 'connect_id' => 'IO', 'connect_socket_num' => undef, 'connect_socket' => undef, 'name' => 'clk' } }, 'type' => 'num' } }, 'description_pdf' => undef }, 'mor1kx0' => { 'plugs' => { 'wb_master' => { 'value' => 2, 'nums' => { '0' => { 'connect_id' => 'wishbone_bus0', 'connect_socket' => 'wb_master', 'name' => 'iwb', 'connect_socket_num' => '2' }, '1' => { 'connect_id' => 'wishbone_bus0', 'connect_socket' => 'wb_master', 'name' => 'dwb', 'connect_socket_num' => '3' } }, 'type' => 'num', 'connection_num' => undef }, 'snoop' => { 'connection_num' => undef, 'nums' => { '0' => { 'connect_socket_num' => '0', 'connect_socket' => 'snoop', 'name' => 'snoop', 'connect_id' => 'wishbone_bus0' } }, 'type' => 'num', 'value' => 1 }, 'enable' => { 'value' => 1, 'type' => 'num', 'nums' => { '0' => { 'connect_id' => 'IO', 'name' => 'enable', 'connect_socket' => undef, 'connect_socket_num' => undef } }, 'connection_num' => undef }, 'reset' => { 'connection_num' => undef, 'type' => 'num', 'nums' => { '0' => { 'connect_id' => 'clk_source0', 'name' => 'reset', 'connect_socket' => 'reset', 'connect_socket_num' => '0' } }, 'value' => 1 }, 'clk' => { 'connection_num' => undef, 'nums' => { '0' => { 'connect_id' => 'clk_source0', 'name' => 'clk', 'connect_socket' => 'clk', 'connect_socket_num' => '0' } }, 'type' => 'num', 'value' => 1 } }, 'description_pdf' => undef, 'category' => 'Processor', 'module' => 'mor1kx', 'sockets' => { 'interrupt_peripheral' => { 'type' => 'param', 'nums' => { '0' => { 'name' => 'interrupt_peripheral' } }, 'connection_num' => 'single connection', 'value' => 'IRQ_NUM' } }, 'parameters' => { 'FEATURE_DMMU' => { 'value' => '"ENABLED"' }, 'FEATURE_INSTRUCTIONCACHE' => { 'value' => '"ENABLED"' }, 'FEATURE_DATACACHE' => { 'value' => '"ENABLED"' }, 'OPTION_DCACHE_SNOOP' => { 'value' => '"ENABLED"' }, 'FEATURE_IMMU' => { 'value' => '"ENABLED"' }, 'IRQ_NUM' => { 'value' => '32' }, 'OPTION_OPERAND_WIDTH' => { 'value' => '32' } }, 'instance_name' => 'cpu', 'parameters_order' => [ 'OPTION_OPERAND_WIDTH', 'IRQ_NUM', 'OPTION_DCACHE_SNOOP', 'FEATURE_INSTRUCTIONCACHE', 'FEATURE_DATACACHE', 'FEATURE_IMMU', 'FEATURE_DMMU' ], 'module_name' => 'mor1k' }, 'wishbone_bus0' => { 'sockets' => { 'wb_slave' => { 'value' => 'S', 'connection_num' => 'single connection', 'type' => 'param', 'nums' => { '0' => { 'name' => 'wb_slave' } } }, 'snoop' => { 'nums' => { '0' => { 'name' => 'snoop' } }, 'type' => 'num', 'connection_num' => 'single connection', 'value' => 1 }, 'wb_master' => { 'value' => 'M', 'nums' => { '0' => { 'name' => 'wb_master' } }, 'type' => 'param', 'connection_num' => 'single connection' }, 'wb_addr_map' => { 'value' => 1, 'connection_num' => 'single connection', 'type' => 'num', 'nums' => { '0' => { 'name' => 'wb_addr_map' } } } }, 'module' => 'wishbone_bus', 'category' => 'Bus', 'description_pdf' => undef, 'plugs' => { 'clk' => { 'value' => 1, 'type' => 'num', 'nums' => { '0' => { 'connect_id' => 'clk_source0', 'connect_socket_num' => '0', 'connect_socket' => 'clk', 'name' => 'clk' } }, 'connection_num' => undef }, 'reset' => { 'value' => 1, 'connection_num' => undef, 'nums' => { '0' => { 'connect_id' => 'clk_source0', 'connect_socket_num' => '0', 'name' => 'reset', 'connect_socket' => 'reset' } }, 'type' => 'num' } }, 'module_name' => 'wishbone_bus', 'parameters_order' => [ 'M', 'S', 'Dw', 'Aw', 'SELw', 'TAGw', 'CTIw', 'BTEw' ], 'instance_name' => 'bus', 'parameters' => { 'SELw' => { 'value' => 'Dw/8' }, 'Dw' => { 'value' => '32' }, 'Aw' => { 'value' => '32' }, 'S' => { 'value' => '4' }, 'CTIw' => { 'value' => '3' }, 'M' => { 'value' => ' 4' }, 'TAGw' => { 'value' => '3' }, 'BTEw' => { 'value' => '2 ' } } }, 'jtag_uart0' => { 'parameters_order' => [ 'FPGA_VENDOR', 'SIM_BUFFER_SIZE', 'SIM_WAIT_COUNT' ], 'module_name' => 'jtag_uart_wb', 'parameters' => { 'SIM_BUFFER_SIZE' => { 'value' => 1000 }, 'FPGA_VENDOR' => { 'value' => ' "ALTERA"' }, 'SIM_WAIT_COUNT' => { 'value' => '1000' } }, 'instance_name' => 'uart', 'description_pdf' => undef, 'plugs' => { 'interrupt_peripheral' => { 'type' => 'num', 'nums' => { '0' => { 'connect_socket_num' => undef, 'connect_socket' => undef, 'name' => 'interrupt_peripheral', 'connect_id' => 'NC' } }, 'connection_num' => undef, 'value' => 1 }, 'clk' => { 'value' => 1, 'type' => 'num', 'nums' => { '0' => { 'connect_id' => 'clk_source0', 'connect_socket_num' => '0', 'name' => 'clk', 'connect_socket' => 'clk' } }, 'connection_num' => undef }, 'wb_slave' => { 'connection_num' => undef, 'type' => 'num', 'nums' => { '0' => { 'end' => 2415919135, 'name' => 'wb_slave', 'connect_socket' => 'wb_slave', 'connect_socket_num' => '1', 'width' => 5, 'connect_id' => 'wishbone_bus0', 'addr' => '0x9000_0000 0x90ff_ffff UART16550 Controller', 'base' => 2415919104 } }, 'value' => 1 }, 'reset' => { 'value' => 1, 'type' => 'num', 'nums' => { '0' => { 'connect_id' => 'clk_source0', 'connect_socket_num' => '0', 'connect_socket' => 'reset', 'name' => 'reset' } }, 'connection_num' => undef } }, 'module' => 'jtag_uart', 'sockets' => { 'RxD_sim' => { 'connection_num' => 'single connection', 'type' => 'num', 'nums' => { '0' => { 'name' => 'RxD_sim' } }, 'value' => 1 } }, 'category' => 'Communication' }, 'single_port_ram0' => { 'category' => 'RAM', 'module' => 'single_port_ram', 'sockets' => {}, 'description_pdf' => '/mpsoc/src_peripheral/ram/RAM.pdf', 'plugs' => { 'reset' => { 'connection_num' => undef, 'type' => 'num', 'nums' => { '0' => { 'connect_id' => 'clk_source0', 'connect_socket_num' => '0', 'name' => 'reset', 'connect_socket' => 'reset' } }, 'value' => 1 }, 'wb_slave' => { 'value' => 1, 'connection_num' => undef, 'type' => 'num', 'nums' => { '0' => { 'name' => 'wb', 'connect_socket' => 'wb_slave', 'width' => 'WB_Aw', 'connect_socket_num' => '0', 'end' => 65535, 'addr' => '0x0000_0000 0x3fff_ffff RAM', 'connect_id' => 'wishbone_bus0', 'base' => 0 } } }, 'clk' => { 'connection_num' => undef, 'nums' => { '0' => { 'name' => 'clk', 'connect_socket' => 'clk', 'connect_socket_num' => '0', 'connect_id' => 'clk_source0' } }, 'type' => 'num', 'value' => 1 } }, 'parameters' => { 'INIT_FILE_PATH' => { 'value' => 'SW_LOC' }, 'Dw' => { 'value' => '32' }, 'Aw' => { 'value' => 14 }, 'SELw' => { 'value' => 'Dw/8' }, 'JTAG_CONNECT' => { 'value' => '"DISABLED"' }, 'BURST_MODE' => { 'value' => '"ENABLED"' }, 'BTEw' => { 'value' => '2' }, 'JTAG_INDEX' => { 'value' => 'CORE_ID' }, 'BYTE_WR_EN' => { 'value' => '"YES"' }, 'INITIAL_EN' => { 'value' => '"YES"' }, 'MEM_CONTENT_FILE_NAME' => { 'value' => '"ram0"' }, 'TAGw' => { 'value' => '3' }, 'FPGA_VENDOR' => { 'value' => '"ALTERA"' }, 'CTIw' => { 'value' => '3' }, 'WB_Aw' => { 'value' => 'Aw+2' } }, 'instance_name' => 'ram', 'parameters_order' => [ 'Dw', 'Aw', 'BYTE_WR_EN', 'FPGA_VENDOR', 'JTAG_CONNECT', 'JTAG_INDEX', 'TAGw', 'SELw', 'CTIw', 'BTEw', 'WB_Aw', 'BURST_MODE', 'MEM_CONTENT_FILE_NAME', 'INITIAL_EN', 'INIT_FILE_PATH' ], 'module_name' => 'wb_single_port_ram' }, 'timer0' => { 'module_name' => 'timer', 'parameters_order' => [ 'CNTw', 'Dw', 'Aw', 'TAGw', 'SELw', 'PRESCALER_WIDTH' ], 'instance_name' => 'timer', 'parameters' => { 'TAGw' => { 'value' => '3' }, 'SELw' => { 'value' => '4' }, 'Aw' => { 'value' => '3' }, 'CNTw' => { 'value' => '32 ' }, 'Dw' => { 'value' => '32' }, 'PRESCALER_WIDTH' => { 'value' => '8' } }, 'description_pdf' => '/mpsoc/src_peripheral/timer/timer.pdf', 'plugs' => { 'interrupt_peripheral' => { 'connection_num' => undef, 'type' => 'num', 'nums' => { '0' => { 'connect_socket_num' => '1', 'connect_socket' => 'interrupt_peripheral', 'name' => 'intrp', 'connect_id' => 'mor1kx0' } }, 'value' => 1 }, 'reset' => { 'value' => 1, 'connection_num' => undef, 'nums' => { '0' => { 'connect_socket' => 'reset', 'name' => 'reset', 'connect_socket_num' => '0', 'connect_id' => 'clk_source0' } }, 'type' => 'num' }, 'wb_slave' => { 'value' => 1, 'connection_num' => undef, 'nums' => { '0' => { 'end' => 2516582431, 'name' => 'wb', 'connect_socket' => 'wb_slave', 'width' => 5, 'connect_socket_num' => '2', 'addr' => '0x9600_0000 0x96ff_ffff PWM/Timer/Counter Ctrl', 'connect_id' => 'wishbone_bus0', 'base' => 2516582400 } }, 'type' => 'num' }, 'clk' => { 'nums' => { '0' => { 'connect_id' => 'clk_source0', 'connect_socket_num' => '0', 'connect_socket' => 'clk', 'name' => 'clk' } }, 'type' => 'num', 'connection_num' => undef, 'value' => 1 } }, 'sockets' => {}, 'module' => 'timer', 'category' => 'Timer' } }, 'hdl_files' => undef, 'top_ip' => bless( { 'interface' => { 'plug:reset[0]' => { 'ports' => { 'ss_reset_in' => { 'instance_name' => 'clk_source0', 'range' => '', 'type' => 'input', 'intfc_port' => 'reset_i' } } }, 'plug:enable[0]' => { 'ports' => { 'cpu_cpu_en' => { 'intfc_port' => 'enable_i', 'type' => 'input', 'instance_name' => 'mor1kx0', 'range' => '' } } }, 'plug:clk[0]' => { 'ports' => { 'ss_clk_in' => { 'intfc_port' => 'clk_i', 'type' => 'input', 'range' => '', 'instance_name' => 'clk_source0' } } }, 'socket:ni[0]' => { 'ports' => { 'ni_flit_out' => { 'intfc_port' => 'flit_out', 'type' => 'output', 'range' => 'ni_Fw-1 : 0', 'instance_name' => 'ni_master0' }, 'ni_flit_in' => { 'type' => 'input', 'intfc_port' => 'flit_in', 'instance_name' => 'ni_master0', 'range' => 'ni_Fw-1 : 0' }, 'ni_current_r_addr' => { 'intfc_port' => 'current_r_addr', 'type' => 'input', 'instance_name' => 'ni_master0', 'range' => 'ni_RAw-1 : 0' }, 'ni_flit_out_wr' => { 'type' => 'output', 'intfc_port' => 'flit_out_wr', 'instance_name' => 'ni_master0', 'range' => '' }, 'ni_current_e_addr' => { 'range' => 'ni_EAw-1 : 0', 'instance_name' => 'ni_master0', 'intfc_port' => 'current_e_addr', 'type' => 'input' }, 'ni_flit_in_wr' => { 'intfc_port' => 'flit_in_wr', 'type' => 'input', 'range' => '', 'instance_name' => 'ni_master0' }, 'ni_credit_in' => { 'range' => 'ni_V-1 : 0', 'instance_name' => 'ni_master0', 'type' => 'input', 'intfc_port' => 'credit_in' }, 'ni_credit_out' => { 'intfc_port' => 'credit_out', 'type' => 'output', 'instance_name' => 'ni_master0', 'range' => 'ni_V-1 : 0' } } } }, 'ports' => { 'ni_credit_in' => { 'intfc_port' => 'credit_in', 'type' => 'input', 'intfc_name' => 'socket:ni[0]', 'instance_name' => 'ni_master0', 'range' => 'ni_V-1 : 0' }, 'ss_clk_in' => { 'type' => 'input', 'intfc_port' => 'clk_i', 'range' => '', 'instance_name' => 'clk_source0', 'intfc_name' => 'plug:clk[0]' }, 'ni_credit_out' => { 'intfc_name' => 'socket:ni[0]', 'instance_name' => 'ni_master0', 'range' => 'ni_V-1 : 0', 'type' => 'output', 'intfc_port' => 'credit_out' }, 'ni_flit_in' => { 'instance_name' => 'ni_master0', 'intfc_name' => 'socket:ni[0]', 'range' => 'ni_Fw-1 : 0', 'intfc_port' => 'flit_in', 'type' => 'input' }, 'cpu_cpu_en' => { 'range' => '', 'instance_name' => 'mor1kx0', 'intfc_name' => 'plug:enable[0]', 'type' => 'input', 'intfc_port' => 'enable_i' }, 'ni_flit_out' => { 'instance_name' => 'ni_master0', 'intfc_name' => 'socket:ni[0]', 'range' => 'ni_Fw-1 : 0', 'type' => 'output', 'intfc_port' => 'flit_out' }, 'ni_flit_in_wr' => { 'intfc_port' => 'flit_in_wr', 'type' => 'input', 'intfc_name' => 'socket:ni[0]', 'instance_name' => 'ni_master0', 'range' => '' }, 'ss_reset_in' => { 'range' => '', 'instance_name' => 'clk_source0', 'intfc_name' => 'plug:reset[0]', 'type' => 'input', 'intfc_port' => 'reset_i' }, 'ni_current_r_addr' => { 'type' => 'input', 'intfc_port' => 'current_r_addr', 'range' => 'ni_RAw-1 : 0', 'instance_name' => 'ni_master0', 'intfc_name' => 'socket:ni[0]' }, 'ni_current_e_addr' => { 'type' => 'input', 'intfc_port' => 'current_e_addr', 'intfc_name' => 'socket:ni[0]', 'instance_name' => 'ni_master0', 'range' => 'ni_EAw-1 : 0' }, 'ni_flit_out_wr' => { 'intfc_name' => 'socket:ni[0]', 'instance_name' => 'ni_master0', 'range' => '', 'intfc_port' => 'flit_out_wr', 'type' => 'output' } }, 'instance_ids' => { 'ni_master0' => { 'module_name' => 'ni_master', 'instance' => 'ni', 'localparam' => { 'ni_M_Aw' => { 'info' => 'Parameter', 'type' => 'Fixed', 'global_param' => 'Localparam', 'redefine_param' => 1, 'default' => '32', 'content' => 'Dw' }, 'ni_CRC_EN' => { 'type' => 'Combo-box', 'info' => 'The parameter can be selected as "YES" or "NO". If CRC is enabled, then two CRC32 generator modules will be added to the NI. One CRC generator for calculating CRC of sending packets and another for receiving packets. The CRC32 value of each packet is send via tail flit and at destination NI, is will be compared with received packet generated CRC32. The matching results can be used for error-detection and can be read via NI slave interface. ', 'content' => '"YES","NO"', 'redefine_param' => 1, 'default' => '"NO"', 'global_param' => 'Localparam' }, 'ni_MAX_BURST_SIZE' => { 'content' => '2,4,8,16,32,64,128,256,512,1024,2048', 'global_param' => 'Localparam', 'redefine_param' => 1, 'default' => '16', 'info' => 'Maximum burst size in words. The NI releases the wishbone bus each time one burst is completed or when the VC\'s internal FIFO becomes full. The bus will be released for one clock cycle. Then in case, there are other active VCs, another active VC will get access to the bus using round robin arbiter. This process will be continued until all of the desired data is transferred. ', 'type' => 'Combo-box' }, 'ni_SELw' => { 'info' => 'Parameter', 'type' => 'Fixed', 'content' => '', 'redefine_param' => 1, 'default' => '4', 'global_param' => 'Localparam' }, 'ni_S_Aw' => { 'info' => 'Parameter', 'type' => 'Fixed', 'global_param' => 'Localparam', 'redefine_param' => 1, 'default' => '8', 'content' => '' }, 'ni_TAGw' => { 'type' => 'Fixed', 'info' => 'Parameter', 'content' => '', 'global_param' => 'Localparam', 'redefine_param' => 1, 'default' => '3' }, 'ni_MAX_TRANSACTION_WIDTH' => { 'type' => 'Spin-button', 'info' => 'maximum packet size width in words. The maximum data that can be sent via one packet will be 2 power of MAX_DMA_TRANSACTION_WIDTH in words.', 'redefine_param' => 1, 'default' => '13', 'global_param' => 'Localparam', 'content' => '4,32,1' }, 'ni_Fw' => { 'global_param' => 'Localparam', 'redefine_param' => 0, 'default' => '2+ni_V+ni_Fpay', 'content' => '', 'type' => 'Fixed', 'info' => undef }, 'ni_Dw' => { 'content' => '32,256,8', 'global_param' => 'Localparam', 'redefine_param' => 1, 'default' => '32', 'info' => 'wishbone_bus data width in bits.', 'type' => 'Spin-button' } }, 'parameters' => { 'ni_Fpay' => { 'content' => '', 'default' => '32', 'redefine_param' => 1, 'global_param' => 'Parameter', 'info' => 'Parameter', 'type' => 'Fixed' }, 'ni_EAw' => { 'type' => 'Fixed', 'info' => undef, 'redefine_param' => 0, 'global_param' => 'Parameter', 'default' => '16', 'content' => '' }, 'ni_RAw' => { 'default' => '16', 'redefine_param' => 0, 'global_param' => 'Parameter', 'content' => '', 'info' => undef, 'type' => 'Fixed' }, 'ni_ROUTE_NAME' => { 'content' => '', 'redefine_param' => 1, 'global_param' => 'Parameter', 'default' => '"XY"', 'type' => 'Fixed', 'info' => 'Parameter' }, 'ni_T3' => { 'content' => '', 'redefine_param' => 1, 'default' => 4, 'global_param' => 'Parameter', 'type' => 'Fixed', 'info' => 'Parameter' }, 'ni_T1' => { 'type' => 'Fixed', 'info' => 'Parameter', 'global_param' => 'Parameter', 'redefine_param' => 1, 'default' => 2, 'content' => '' }, 'ni_V' => { 'type' => 'Fixed', 'info' => 'Parameter', 'content' => '', 'global_param' => 'Parameter', 'redefine_param' => 1, 'default' => '2' }, 'ni_DEBUG_EN' => { 'redefine_param' => 1, 'global_param' => 'Parameter', 'default' => '0', 'content' => '', 'info' => 'Parameter', 'type' => 'Fixed' }, 'ni_TOPOLOGY' => { 'info' => 'Parameter', 'type' => 'Fixed', 'global_param' => 'Parameter', 'redefine_param' => 1, 'default' => '"MESH"', 'content' => '' }, 'ni_T2' => { 'type' => 'Fixed', 'info' => 'Parameter', 'global_param' => 'Parameter', 'redefine_param' => 1, 'default' => 2, 'content' => '' }, 'ni_B' => { 'default' => '4', 'redefine_param' => 1, 'global_param' => 'Parameter', 'content' => '', 'info' => 'Parameter', 'type' => 'Fixed' }, 'ni_C' => { 'info' => 'Parameter', 'type' => 'Fixed', 'content' => '', 'redefine_param' => 1, 'default' => 0, 'global_param' => 'Parameter' } }, 'module' => 'ni_master', 'ports' => { 'ni_current_r_addr' => { 'range' => 'ni_RAw-1 : 0', 'intfc_name' => 'socket:ni[0]', 'intfc_port' => 'current_r_addr', 'type' => 'input' }, 'ni_flit_out_wr' => { 'intfc_name' => 'socket:ni[0]', 'range' => '', 'intfc_port' => 'flit_out_wr', 'type' => 'output' }, 'ni_current_e_addr' => { 'range' => 'ni_EAw-1 : 0', 'intfc_name' => 'socket:ni[0]', 'intfc_port' => 'current_e_addr', 'type' => 'input' }, 'ni_flit_in_wr' => { 'range' => '', 'intfc_name' => 'socket:ni[0]', 'intfc_port' => 'flit_in_wr', 'type' => 'input' }, 'ni_flit_out' => { 'range' => 'ni_Fw-1 : 0', 'intfc_name' => 'socket:ni[0]', 'type' => 'output', 'intfc_port' => 'flit_out' }, 'ni_flit_in' => { 'range' => 'ni_Fw-1 : 0', 'intfc_name' => 'socket:ni[0]', 'intfc_port' => 'flit_in', 'type' => 'input' }, 'ni_credit_out' => { 'type' => 'output', 'intfc_port' => 'credit_out', 'intfc_name' => 'socket:ni[0]', 'range' => 'ni_V-1 : 0' }, 'ni_credit_in' => { 'intfc_name' => 'socket:ni[0]', 'range' => 'ni_V-1 : 0', 'type' => 'input', 'intfc_port' => 'credit_in' } }, 'category' => 'NoC' }, 'clk_source0' => { 'ports' => { 'ss_reset_in' => { 'intfc_port' => 'reset_i', 'type' => 'input', 'range' => '', 'intfc_name' => 'plug:reset[0]' }, 'ss_clk_in' => { 'range' => '', 'intfc_name' => 'plug:clk[0]', 'intfc_port' => 'clk_i', 'type' => 'input' } }, 'category' => 'Source', 'module' => 'clk_source', 'module_name' => 'clk_source', 'instance' => 'ss' }, 'wishbone_bus0' => { 'module' => 'wishbone_bus', 'category' => 'Bus', 'instance' => 'bus', 'module_name' => 'wishbone_bus', 'localparam' => { 'bus_M' => { 'info' => 'Number of wishbone master interface', 'type' => 'Spin-button', 'redefine_param' => 1, 'global_param' => 'Localparam', 'default' => ' 4', 'content' => '1,256,1' }, 'bus_Dw' => { 'content' => '8,512,8', 'default' => '32', 'redefine_param' => 1, 'global_param' => 'Localparam', 'info' => 'The wishbone Bus data width in bits.', 'type' => 'Spin-button' }, 'bus_SELw' => { 'content' => '', 'global_param' => 'Localparam', 'redefine_param' => 1, 'default' => 'bus_Dw/8', 'info' => undef, 'type' => 'Fixed' }, 'bus_Aw' => { 'global_param' => 'Localparam', 'redefine_param' => 1, 'default' => '32', 'content' => '4,128,1', 'type' => 'Spin-button', 'info' => 'The wishbone Bus address width' }, 'bus_TAGw' => { 'type' => 'Fixed', 'info' => undef, 'content' => '', 'redefine_param' => 1, 'default' => '3', 'global_param' => 'Localparam' }, 'bus_S' => { 'content' => '1,256,1', 'redefine_param' => 1, 'global_param' => 'Localparam', 'default' => '4', 'type' => 'Spin-button', 'info' => 'Number of wishbone slave interface' }, 'bus_BTEw' => { 'type' => 'Fixed', 'info' => undef, 'redefine_param' => 1, 'global_param' => 'Localparam', 'default' => '2 ', 'content' => '' }, 'bus_CTIw' => { 'info' => undef, 'type' => 'Fixed', 'redefine_param' => 1, 'global_param' => 'Localparam', 'default' => '3', 'content' => '' } } }, 'mor1kx0' => { 'category' => 'Processor', 'ports' => { 'cpu_cpu_en' => { 'type' => 'input', 'intfc_port' => 'enable_i', 'intfc_name' => 'plug:enable[0]', 'range' => '' } }, 'module' => 'mor1kx', 'parameters' => { 'cpu_FEATURE_DMMU' => { 'content' => '"NONE","ENABLED"', 'redefine_param' => 1, 'global_param' => 'Parameter', 'default' => '"ENABLED"', 'type' => 'Combo-box', 'info' => '' }, 'cpu_OPTION_DCACHE_SNOOP' => { 'content' => '"NONE","ENABLED"', 'global_param' => 'Parameter', 'redefine_param' => 1, 'default' => '"ENABLED"', 'info' => '', 'type' => 'Combo-box' }, 'cpu_IRQ_NUM' => { 'info' => undef, 'type' => 'Fixed', 'content' => '', 'redefine_param' => 1, 'global_param' => 'Parameter', 'default' => '32' }, 'cpu_FEATURE_IMMU' => { 'default' => '"ENABLED"', 'redefine_param' => 1, 'global_param' => 'Parameter', 'content' => '"NONE","ENABLED"', 'type' => 'Combo-box', 'info' => '' }, 'cpu_FEATURE_DATACACHE' => { 'default' => '"ENABLED"', 'redefine_param' => 1, 'global_param' => 'Parameter', 'content' => '"NONE","ENABLED"', 'info' => '', 'type' => 'Combo-box' }, 'cpu_OPTION_OPERAND_WIDTH' => { 'content' => '', 'redefine_param' => 1, 'default' => '32', 'global_param' => 'Parameter', 'type' => 'Fixed', 'info' => 'Parameter' }, 'cpu_FEATURE_INSTRUCTIONCACHE' => { 'info' => '', 'type' => 'Combo-box', 'global_param' => 'Parameter', 'redefine_param' => 1, 'default' => '"ENABLED"', 'content' => '"NONE","ENABLED"' } }, 'instance' => 'cpu', 'module_name' => 'mor1k' }, 'jtag_uart0' => { 'module_name' => 'jtag_uart_wb', 'instance' => 'uart', 'localparam' => { 'uart_FPGA_VENDOR' => { 'redefine_param' => 1, 'default' => ' "ALTERA"', 'global_param' => 'Localparam', 'content' => ' "ALTERA"', 'type' => 'Combo-box', 'info' => 'FPGA VENDOR name. Only Altera FPGA is supported. Currently the Generic serial port is not supported. ' }, 'uart_SIM_BUFFER_SIZE' => { 'content' => '10,10000,1', 'default' => 1000, 'redefine_param' => 1, 'global_param' => 'Localparam', 'info' => 'Internal buffer size. This parameter is valid only in simulation. If internal buffer overflows, the buffer content are displayed on simulator terminal.', 'type' => 'Spin-button' }, 'uart_SIM_WAIT_COUNT' => { 'content' => '2,100000,1', 'redefine_param' => 1, 'default' => '1000', 'global_param' => 'Localparam', 'info' => 'This parameter is valid only in simulation. If internal buffer has a data, the internal timer incremented by one in each clock cycle. If the timer reaches the WAIT_COUNT value, it writes the buffer value on the simulator terminal.', 'type' => 'Spin-button' } }, 'module' => 'jtag_uart', 'category' => 'Communication' }, 'timer0' => { 'category' => 'Timer', 'module' => 'timer', 'parameters' => { 'timer_PRESCALER_WIDTH' => { 'type' => 'Spin-button', 'info' => ' The prescaler timer width. The prescaler takes the basic timer clock frequency and divides it by some value before feeding it to the timer, according to how the prescaler register(s) are configured. ', 'content' => '1,32,1', 'redefine_param' => 1, 'default' => '8', 'global_param' => 'Parameter' } }, 'localparam' => { 'timer_SELw' => { 'info' => undef, 'type' => 'Fixed', 'content' => '', 'redefine_param' => 1, 'default' => '4', 'global_param' => 'Localparam' }, 'timer_Aw' => { 'type' => 'Fixed', 'info' => undef, 'global_param' => 'Localparam', 'redefine_param' => 1, 'default' => '3', 'content' => '' }, 'timer_CNTw' => { 'info' => undef, 'type' => 'Fixed', 'content' => '', 'redefine_param' => 1, 'global_param' => 'Localparam', 'default' => '32 ' }, 'timer_Dw' => { 'content' => '', 'global_param' => 'Localparam', 'redefine_param' => 1, 'default' => '32', 'type' => 'Fixed', 'info' => undef }, 'timer_TAGw' => { 'info' => undef, 'type' => 'Fixed', 'default' => '3', 'redefine_param' => 1, 'global_param' => 'Localparam', 'content' => '' } }, 'instance' => 'timer', 'module_name' => 'timer' }, 'single_port_ram0' => { 'parameters' => { 'ram_Dw' => { 'info' => 'Memory data width in Bits.', 'type' => 'Spin-button', 'content' => '8,1024,1', 'default' => '32', 'redefine_param' => 1, 'global_param' => 'Parameter' }, 'ram_Aw' => { 'content' => '4,31,1', 'redefine_param' => 1, 'global_param' => 'Parameter', 'default' => 14, 'type' => 'Spin-button', 'info' => 'Memory address width' } }, 'localparam' => { 'ram_BTEw' => { 'type' => 'Fixed', 'info' => 'Parameter', 'redefine_param' => 1, 'default' => '2', 'global_param' => 'Localparam', 'content' => '' }, 'ram_JTAG_INDEX' => { 'info' => ' A unique index number which will be used for accessing to the memory content using JTAG cable.The default value is the processing tile id (CORE_ID) . You can also inter a unique number for each individula memory. In case you have \'n\' memory in each processing core you can define their index as "n*CORE_ID+1 , n*CORE_ID+2 ...n*CORE_ID+n-1). You also can disabled JTAG access here and connect one jtag to wb interface (jtag_wb) to the wishbone bus. Using single jtag index number, a jtag_wb module can read/wr any IP that is connected to wishbone bus slave port (including all memory units). ', 'type' => 'Entry', 'content' => '', 'default' => 'CORE_ID', 'redefine_param' => 1, 'global_param' => 'Localparam' }, 'ram_TAGw' => { 'default' => '3', 'redefine_param' => 1, 'global_param' => 'Localparam', 'content' => '', 'info' => 'Parameter', 'type' => 'Fixed' }, 'ram_BURST_MODE' => { 'info' => 'Enable the Wishbone bus Incrementing burst mode data transfer. Support Linear burst and 4,8,16-beat wrap burst types. ', 'type' => 'Combo-box', 'content' => '"DISABLED","ENABLED"', 'redefine_param' => 1, 'default' => '"ENABLED"', 'global_param' => 'Localparam' }, 'ram_SELw' => { 'info' => 'Parameter', 'type' => 'Fixed', 'content' => '', 'redefine_param' => 1, 'default' => 'ram_Dw/8', 'global_param' => 'Localparam' }, 'ram_CTIw' => { 'content' => '', 'redefine_param' => 1, 'global_param' => 'Localparam', 'default' => '3', 'type' => 'Fixed', 'info' => 'Parameter' }, 'ram_JTAG_CONNECT' => { 'content' => '"DISABLED", "JTAG_WB" , "ALTERA_IMCE"', 'redefine_param' => 1, 'default' => '"DISABLED"', 'global_param' => 'Localparam', 'type' => 'Combo-box', 'info' => 'JTAG_CONNECT: if it is not disabled then the actual memory is implemented as a dual port RAM with the second port is connected either to In-System Memory Content Editor or Jtag_to_wb. This allows that the memory content can be read/written using JTAG. ' }, 'ram_BYTE_WR_EN' => { 'type' => 'Combo-box', 'info' => 'Byte enable', 'redefine_param' => 1, 'default' => '"YES"', 'global_param' => 'Localparam', 'content' => '"YES","NO"' }, 'ram_MEM_CONTENT_FILE_NAME' => { 'default' => '"ram0"', 'redefine_param' => 1, 'global_param' => 'Localparam', 'content' => '', 'info' => 'MEM_FILE_NAME: The memory file name (without file type extension ) that is used for writting the memory content either at run time or at initialization time. File Path: For bus-based SoC the file path is {ProNoC_work}/SOC/{soc_name}/sw/RAM/{file_type}/{MEM_FILE_NAME}. For NoC-based MPSoC the file path is {ProNoC_work}/MPSOC/{mpsoc_name}/sw/tile{tile_num}/RAM/{file_type}/{MEM_FILE_NAME} file_type: bin: raw binary format . It will be used by JTAG_WB to change the memory content at runtime. memh: hexadecimal-string format . It will be used for initialing the Generic RAM using $readmemh command. mif: memory initialization file format. This file can be used to initialize Altera FPGA memory. Also if the JTAG_CONECT is selected as ALTERA_IEMC it can be used for changing memory content at run time . ', 'type' => 'Entry' }, 'ram_INIT_FILE_PATH' => { 'redefine_param' => 1, 'default' => 'SW_LOC', 'global_param' => 'Localparam', 'content' => '', 'type' => 'Fixed', 'info' => undef }, 'ram_FPGA_VENDOR' => { 'type' => 'Combo-box', 'info' => '', 'content' => '"ALTERA","GENERIC"', 'redefine_param' => 1, 'default' => '"ALTERA"', 'global_param' => 'Localparam' }, 'ram_INITIAL_EN' => { 'info' => 'If selected as "ENABLED", the memory content will be initialized at compilation time using MEM_CONTENT_FILE_NAME.', 'type' => 'Combo-box', 'content' => '"YES","NO"', 'redefine_param' => 1, 'global_param' => 'Localparam', 'default' => '"YES"' } }, 'instance' => 'ram', 'module_name' => 'wb_single_port_ram', 'category' => 'RAM', 'module' => 'single_port_ram' } } }, 'ip_gen' ), 'clk_source0' => { 'version' => 0 }, 'compile_pin_pos' => {}, 'mor1kx0' => { 'version' => 17 }, 'gui_status' => { 'timeout' => 0, 'status' => 'ideal' }, 'jtag_uart0' => { 'version' => 14 }, 'global_param' => { 'SW_LOC' => '/home/alireza/work/mpsoc_work/SOC/mor1k_tile/sw', 'CORE_ID' => 3 }, 'single_port_ram0' => { 'version' => 22 }, 'compile' => { 'type' => 'Verilator', 'modelsim_bin' => '/home/alireza/intelFPGA_lite/17.1/modelsim_ase/bin', 'quartus_bin' => '/home/alireza/intelFPGA_lite/17.1/quartus/bin', 'board' => 'DE10_Nano_VB2', 'compilers' => 'QuartusII,Verilator,Modelsim' }, 'Unset-intfc' => { 'uart-RxD_din_sim' => 'NC', 'uart-RxD_wr_sim' => 'NC', 'bus-snoop_adr_o' => 'NC', 'uart-RxD_ready_sim' => 'NC', 'bus-snoop_en_o' => 'NC' }, 'instance_order' => [ 'single_port_ram0', 'clk_source0', 'jtag_uart0', 'timer0', 'wishbone_bus0', 'mor1kx0', 'ni_master0' ], 'wishbone_bus0' => { 'version' => 1 }, 'soc_name' => 'mor1k_tile', 'dma0' => { 'version' => 4 } }, 'soc' );

Error running this command: diff -w -U 5 "/tmp/f9tFCU" ""

diff: : No such file or directory