Single 14 Segment Display Driver with Limited ASCII Decoder  0.1
Ports | Libraries | Use Clauses
ascii_decoder Entity Reference
Inheritance diagram for ascii_decoder:
Inheritance graph
[legend]
Collaboration diagram for ascii_decoder:
Collaboration graph
[legend]

Entities

arch  architecture
 

Libraries

ieee 

Use Clauses

std_logic_1164 
numeric_std 

Ports

clk   in std_logic
 input clock, xx MHz.
reset   in std_logic
ascii_in   in std_logic_vector ( 7 downto 0 )
 input ascii code to be displayed
disp_data_q   out std_logic_vector ( 14 downto 0 )
 decoded ascii code output with symbol bit map

Member Data Documentation

◆ ascii_in

ascii_in in std_logic_vector ( 7 downto 0 )
Port

input ascii code to be displayed

ascii_in(7) represents the DP state so it is not decoded. Symbol codes from 0x00 to 0x7F are without DP lit. Symbol codes from 0x80 to 0xFF have DP lit.


The documentation for this class was generated from the following file: