OpenCores

10_100_1000 Mbps tri-mode ethernet MAC

Issue List
Error solution #17
Open manishtaparia opened this issue over 14 years ago
manishtaparia commented over 14 years ago

hello, i find the following Error while compiling this tri mode core.

ERROR:HDLCompilers:26 - "C:/Dokumente und Einstellungen/m.taparia/Eigene Dateien/Downloads/verilog/MAC_tx/MAC_tx_FF.v" line 144 Macro reference `MAC_RX_FF_DEPTH is not defined

can anyone please solve this problem for me thanks.

pdk9 commented over 14 years ago

change MAC_RX_FF_DEPTH toMAC_TX_FF_DEPTH in MAC_tx_FF.v

jimmystone commented over 14 years ago

`MAC_RX_FF_DEPTH defines the depth of Rx fifo, you can define it in header.v


Assignee
No one
Labels
Request