OpenCores

Project maintainers

Details

Name: aes-128_pipelined_encryption
Created: Sep 6, 2013
Updated: Jun 30, 2016
SVN Updated: Sep 8, 2013
SVN: Browse
Latest version: download (might take a bit to start...)
Statistics: View
Bugs: 4 reported / 4 solved
Star16you like it: star it!

Other project properties

Category:Crypto core
Language:Verilog
Development status:Stable
Additional info:Design done, FPGA proven, Specification done
WishBone compliant: No
WishBone version: n/a
License: LGPL

Description

The AES-128 pipelined cipher module uses AES algorithm which is a symmetric block cipher to encrypt (encipher) information. Encryption converts data to an unintelligible form called ciphertext .Here the AES algorithm is capable of using cryptographic keys of 128bit to do this conversion .This module is optimized for speed as it pipeline hardware to perform repeated sequence called round. This module synthesized on Xilinx virtex 6 6vcx240tff784-2 board using ISE. Fuctional and gate level simulation were done using AES validation suite (AESVS) vectors

Features

-128 bit data
-128 bit Cipher Key
-One Clock domain
-Optimized for speed
-Pipelined architecture
-Generic RTL (vendor independent)