OpenCores

LFSR-Random number generator

News
Aug 17, 2010output_enable input is removed from the port list.Setting the seed is made synchronous instead of asynchronous.lal, vipin
Aug 1, 2010The vhdl codes where uploaded and the project is in alpha stage.lal, vipin
Jul 31, 2010Planning completed on 28th July 2010lal, vipin