OpenCores

Project maintainers

Details

Name: simon_core
Created: Aug 5, 2014
Updated: Aug 6, 2014
SVN Updated: Aug 6, 2014
SVN: Browse
Latest version: download (might take a bit to start...)
Statistics: View
Bugs: 0 reported / 0 solved
Star2you like it: star it!

Other project properties

Category:Crypto core
Language:Verilog
Development status:Mature
Additional info:FPGA proven
WishBone compliant: No
WishBone version: n/a
License: LGPL

Description

The code presented here implements the bit-serialized SIMON block cipher. Please check the following publication for the details of the implementation: A. Aysu, E. Gulcan, P. Schaumont, "SIMON Says, Break Area Records of Block Ciphers on FPGAs,", IEEE Embedded Systems Letters, 6(2):37-40, April 2014