OpenCores

SystemVerilog Directed Test Bench

Project maintainers

Details

Name: sv_dir_tb
Created: Aug 25, 2014
Updated: Apr 23, 2019
SVN Updated: Apr 23, 2019
SVN: Browse
Latest version: download (might take a bit to start...)
Statistics: View
Bugs: 1 reported / 1 solved
Star4you like it: star it!

Other project properties

Category:Testing / Verification
Language:Other
Development status:Beta
Additional info:
WishBone compliant: No
WishBone version: n/a
License: Others

Description

The SystemVerilog Directed Test Bench.
This project contains an exact duplication of the VHDL Test Bench Package parser and usage model. This enables users to create a simple test environment for verification efforts using SV. This also enables scripts that were used on the VHDL system to be reused in a SV environment. (providing the same functionality is coded in the SV environment.)

Current state is Beta, please report any problems to the bug tracking system so I can address issues.