OpenCores

Yet Another Hamming Encoder and Decoder

Project maintainers

Details

Name: yahamm
Created: Mar 17, 2017
Updated: Apr 5, 2017
SVN Updated: Apr 6, 2017
SVN: Browse
Latest version: download (might take a bit to start...)
Statistics: View
Bugs: 0 reported / 0 solved
Star1you like it: star it!

Other project properties

Category:ECC core
Language:VHDL
Development status:Beta
Additional info:
WishBone compliant: No
WishBone version: n/a
License: LGPL

Description

A hamming encoder and decoder with single-error correcting and double-error detecting capability. The message length can be configured through a generic. Both the code generator matrix and the parity-check matrix are computed in the VHDL itself.

Specification and design documents can be found in the doc directory of the project repository.