OpenCores

Hardware looping unit

Project maintainers

Details

Name: hwlu
Created: Apr 16, 2004
Updated: Jul 9, 2011
SVN Updated: Apr 3, 2010
SVN: Browse
Latest version: download (might take a bit to start...)
Statistics: View
Bugs: 0 reported / 0 solved
Star2you like it: star it!

Other project properties

Category:Other
Language:VHDL
Development status:Stable
Additional info:Design done
WishBone compliant: No
WishBone version: n/a
License: GPL

Hardware looping unit

Tha main purpose of the hardware looping unit (HWLU) is to enhance program control units found in modern microprocessors, by efficiently handling loop increments and branches in nested loop structures. It is based on recently published work (details can be found in the specification document). The main advantage of the presented architecture is that successive last iterations of nested loops are performed in a single cycle. This architecture can be useful in the case that all data processing in context of a nested loop structure is performed in the inner loop, which is rather often in multidimensional signal processing applications as performance-critical code in image coding and video compression standards.

Features

Find more details at:
http://www.nkavvadias.com

Status

- status1
- status2