OpenCores

Project maintainers

Details

Name: ps2_host_controller
Created: Dec 16, 2011
Updated: Dec 19, 2011
SVN Updated: Dec 19, 2011
SVN: Browse
Latest version: download (might take a bit to start...)
Statistics: View
Bugs: 0 reported / 0 solved
Star2you like it: star it!

Other project properties

Category:Communication controller
Language:Verilog
Development status:Beta
Additional info:FPGA proven
WishBone compliant: No
WishBone version: n/a
License: LGPL

Description

This core aims at implementing host side of IBM PS/2 keyboard and mouse communication protocol.

To run testbench:
%> iverilog -DSYS_CLOCK_HZ=100000 -o ps2_host_testbench ps2_host_testbench.v
%> vvp ps2_host_testbench -lxt2
%> gtkwave ps2_host_testbench.lxt