/*This is the CSA_unit that has been built using two 1-bit carry save adder. It's purpose in the whole adder schematic has been shown in the image. **Please excuse the 1-bit carry save adder module uploaded twice. Both of then are identical except for comments in the second upload. */ `timescale 1ns / 1ps module csa_unit( input an, input bn, input cn, input cin, input s_n_1, output sn, output sum_n_1, output cout ); wire cout1; csa_1Bit a1(an, bn, cn, sn, cout1); csa_1Bit a2(cin, cout1, s_n_1, sum_n_1, cout); endmodule