OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] [trunk/] [tb/] [agent/] [transaction/] [uart_dashboard.svh] - Diff between revs 18 and 19

Show entire file | Details | Blame | View Log

Rev 18 Rev 19
Line 45... Line 45...
      `uvm_field_int(text_mode_cov,UVM_ALL_ON)
      `uvm_field_int(text_mode_cov,UVM_ALL_ON)
      `uvm_field_int(binary_mode_cov,UVM_ALL_ON)
      `uvm_field_int(binary_mode_cov,UVM_ALL_ON)
      `uvm_field_int(two_binary_bursts_cov,UVM_ALL_ON)
      `uvm_field_int(two_binary_bursts_cov,UVM_ALL_ON)
      `uvm_field_int(four_bursts_cov,UVM_ALL_ON)
      `uvm_field_int(four_bursts_cov,UVM_ALL_ON)
 
 
      `uvm_field_int(hit_text_cov,UVM_ALL_ON|UVM_NOPRINT|UVM_DEC)
      `uvm_field_int(hit_text_cov,UVM_ALL_ON|UVM_NOPRINT)
      `uvm_field_int(hit_bin_cov,UVM_ALL_ON|UVM_NOPRINT)
      `uvm_field_int(hit_bin_cov,UVM_ALL_ON|UVM_NOPRINT)
      `uvm_field_int(hit_mode_cov,UVM_ALL_ON|UVM_NOPRINT)
      `uvm_field_int(hit_mode_cov,UVM_ALL_ON|UVM_NOPRINT)
      `uvm_field_int(hit_two_bursts_cov,UVM_ALL_ON|UVM_NOPRINT)
      `uvm_field_int(hit_two_bursts_cov,UVM_ALL_ON|UVM_NOPRINT)
      `uvm_field_int(hit_four_bursts_cov,UVM_ALL_ON|UVM_NOPRINT)
      `uvm_field_int(hit_four_bursts_cov,UVM_ALL_ON|UVM_NOPRINT)
   `uvm_object_utils_end
   `uvm_object_utils_end
Line 58... Line 58...
      super.new(name);
      super.new(name);
      pr = new ();
      pr = new ();
      pr.knobs.default_radix=UVM_DEC;
      pr.knobs.default_radix=UVM_DEC;
   endfunction // new
   endfunction // new
 
 
   function void set_cov_threshold(hit_text_cov,
   function void set_cov_threshold(int hit_text_cov,
                                   hit_bin_cov,
                                   int hit_bin_cov,
                                   hit_mode_cov,
                                   int hit_mode_cov,
                                   hit_two_bursts_cov,
                                   int hit_two_bursts_cov,
                                   hit_four_bursts_cov);
                                   int hit_four_bursts_cov);
      this.hit_text_cov        = hit_text_cov;
      this.hit_text_cov        = hit_text_cov;
      this.hit_bin_cov         = hit_bin_cov;
      this.hit_bin_cov         = hit_bin_cov;
      this.hit_mode_cov        = hit_mode_cov;
      this.hit_mode_cov        = hit_mode_cov;
      this.hit_two_bursts_cov  = hit_two_bursts_cov;
      this.hit_two_bursts_cov  = hit_two_bursts_cov;
      this.hit_four_bursts_cov = hit_four_bursts_cov;
      this.hit_four_bursts_cov = hit_four_bursts_cov;
 
      print_thresholds();
   endfunction // set_cov_threshold
   endfunction // set_cov_threshold
 
 
   function void evaluate_coverage();
   function void evaluate_coverage();
      if((text_mode_cov         >= hit_text_cov)     &&
      if((text_mode_cov         >= hit_text_cov)     &&
         (binary_mode_cov       >= hit_bin_cov)      &&
         (binary_mode_cov       >= hit_bin_cov)      &&
Line 79... Line 80...
         (two_binary_bursts_cov >= hit_two_bursts_cov)&&
         (two_binary_bursts_cov >= hit_two_bursts_cov)&&
         (four_bursts_cov       >= hit_four_bursts_cov))
         (four_bursts_cov       >= hit_four_bursts_cov))
        hit_coverage = 1'b1;
        hit_coverage = 1'b1;
   endfunction // evaluate_coverage
   endfunction // evaluate_coverage
 
 
 
   virtual function void print_thresholds ();
 
      $display("-------------------------------");
 
      $display("hit_text_cov\t\t\t=%d",hit_text_cov);
 
      $display("hit_bin_cov\t\t\t=%d",hit_bin_cov);
 
      $display("hit_mode_cov\t\t\t=%d",hit_mode_cov);
 
      $display("hit_two_bursts_cov\t\t=%d",hit_two_bursts_cov);
 
      $display("hit_four_bursts_cov\t\t=%d",hit_four_bursts_cov);
 
      $display("-------------------------------");
 
   endfunction // print_thresholds
 
 
 
 
endclass
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.