OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] - Rev 13

Rev

Go to most recent revision | Changes | View Log | RSS feed

Last modification

  • Rev 13 2017-06-23 02:44:59 GMT
  • Author: HanySalah
  • Log message:
    add the general test and replace the coverage component to be uvm_subscriber instead of uvm_component
Path Last modification Log RSS feed
[FOLDER] uart2bus_testbench/ 13  2493d 00h HanySalah View Log RSS feed
[NODE][FOLDER] branches/ 1  3008d 17h root View Log RSS feed
[NODE][FOLDER] tags/ 1  3008d 17h root View Log RSS feed
[NODE][FOLDER] trunk/ 13  2493d 00h HanySalah View Log RSS feed
[NODE][FILE] further_enhancement 12  2494d 03h HanySalah View Log RSS feed

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.