OpenCores
URL https://opencores.org/ocsvn/uart2bus_testbench/uart2bus_testbench/trunk

Subversion Repositories uart2bus_testbench

[/] [uart2bus_testbench/] - Rev 13

Rev

Directory listing | View Log | Compare with Previous | RSS feed

Last modification

  • Rev 13, 2017-06-23 02:44:59 GMT
  • Author: HanySalah
  • Log message:
    add the general test and replace the coverage component to be uvm_subscriber instead of uvm_component

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.