OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [Cyclone2/] [pll_inst.vhd] - Blame information for rev 116

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 116 dilbert57
pll_inst : pll PORT MAP (
2
                areset   => areset_sig,
3
                inclk0   => inclk0_sig,
4
                c0       => c0_sig,
5
                c1       => c1_sig,
6
                c2       => c2_sig,
7
                locked   => locked_sig
8
        );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.