OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_3S200/] [Makefile] - Blame information for rev 111

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 59 davidgb
#===================================================================
2
# File:        Makefile
3
# Author:      David Burnette
4
# Created:     July 5, 2007
5
#
6
# Description:
7
#  Makefile to build the System09 by John Kent
8
#
9
#  This makefile will build John Kent's entire System09 project
10
#  (RTL synthesis and monitor ROMs) and even download the final
11
#  bitstream to the prototype board.
12
#
13
#  You can use Xilinx ISE interactively to add new RTL source files
14
#  to this project.
15
#
16
# Usage:
17
#  Use 'make help' to get a list of options.
18
#
19
# Dependencies:
20
#  Depends on makefile fragments in the 'MKFRAGS' directory.
21
#
22
# Revision History:
23
#   dgb  2007-07-05  Original version
24
#
25
#   dgb  2008-04-07  Split out files into fragments. Modified
26
#                    ROM source generation to be per src directory.
27
#
28
#===================================================================
29
 
30
MKFRAGS := ../../mkfiles
31
export MKFRAGS
32
 
33 92 davidgb
BRAM_TYPE := b16
34
export BRAM_TYPE
35
 
36 59 davidgb
#===================================================================
37
# User-modifiable variables
38
#
39
# This name must match the name of the design in Xilinx ISE (case
40
# sensitive).
41 111 davidgb
DESIGN_NAME := system09
42 59 davidgb
#
43
# Constraint file (unfortunately it cannot be extracted from ISE)
44
UCF_FILE    := System09_Digilent_3S200.ucf
45
#
46
# Technology family (unfortunately it cannot be extracted from ISE)
47
FAMILY      := spartan3
48
 
49
# List of ROM VHDL files
50
.PHONY: roms
51
roms:
52 84 davidgb
        @$(MAKE) -C ../../Tools/as09
53
        @$(MAKE) -C ../../Tools/s19tovhd
54 59 davidgb
        @$(MAKE) -C ../../src/sys09bug sys09s3s.vhd
55
        @$(MAKE) -C ../../src/Flex9 flex9ram.vhd
56
 
57
#===================================================================
58
# You should not need to edit anything below this line
59
 
60
 
61
include ../../mkfiles/xilinx_rules.mk
62
 
63
#===================================================================
64
# TARGETS
65
 
66
.PHONY: all
67
all: bit
68
 
69
.PHONY: bit
70
bit: roms $(DESIGN_NAME).bit
71
 
72
.PHONY: impact
73
impact: roms bit do_impact
74
 
75
prom: roms $(DESIGN_NAME).mcs
76
 
77
.PHONY: help
78
help:
79
        @$(ECHO) "Use this Makefile to regenerate the entire System09 bitstream"
80
        @$(ECHO) "after modifying any of the source RTL or 6809 assembler code."
81
        @$(ECHO) ""
82
        @$(ECHO) "This makefile uses the following project files from the Xilinx ISE"
83
        @$(ECHO) "   $(XST_FILE)"
84
        @$(ECHO) ""
85
        @$(ECHO) "You use Xilinx ISE interactively to add new RTL source files."
86
        @$(ECHO) ""
87
        @$(ECHO) "            Availiable targets"
88
        @$(ECHO)
89
        @$(ECHO) "  For building all or part of the system:"
90
        @$(ECHO) "    roms      - Run asm09 and then generate the VHDL RTL rom files"
91
        @$(ECHO) "    bit       - Rebuild the entire system and generate the bitstream file"
92
        @$(ECHO) "    all       - Rebuild everything"
93
        @$(ECHO) "    prom      - Rebuild the entire system and generate an MCS prom file"
94
        @$(ECHO) "    exo       - Rebuild the entire system and generate an EXO prom file"
95
        @$(ECHO)
96
        @$(ECHO) "  For downloading the bitstream to the board:"
97
        @$(ECHO) "    impact    - Download the bitstream to the FPGA via iMPACT"
98
        @$(ECHO)
99
        @$(ECHO) "  For project maintenance:"
100
        @$(ECHO) "    help      - Print this help text"
101
        @$(ECHO) "    clean     - Clean up the ISE files"
102 84 davidgb
        @$(ECHO) "    cleanall  - Clean up the ISE files and the Tools directories"
103 59 davidgb
        @$(ECHO) ""
104
 
105
.PHONY: clean
106
clean:
107
        -$(MAKE) -C ../../src/sys09bug clean
108
        -$(MAKE) -C ../../src/Flex9 clean
109 111 davidgb
        -$(RM) *.ncd *.ngc *.ngd *.twr *.bit *.mcs *.stx *.ucf.untf *.mrp *.xrpt
110 59 davidgb
        -$(RM) *.ncl *.ngm *.prm *_pad.txt *.twx *.log *.syr *.par *.exo *.xpi
111
        -$(RM) *.cmd_log *.ngr *.bld *_summary.html *.nc1 *.pcf *.bgn
112
        -$(RM) *.pad *.placed_ncd_tracker *.routed_ncd_tracker *_pad.csv *.drc
113
        -$(RM) *.pad_txt $(DESIGN_NAME)_impact.cmd *.unroutes
114
        -$(RMDIR) _ngo _xmsgs
115
 
116 84 davidgb
.PHONY: cleanall
117
cleanall: clean
118
        -$(MAKE) -C ../../Tools/as09 clean
119
        -$(MAKE) -C ../../Tools/s19tovhd clean

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.