OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Digilent_ZyboZ20/] [Makefile] - Blame information for rev 167

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 165 davidgb
#===================================================================
2
# File:        Makefile
3
# Author:      David Burnette
4
# Created:     July 5, 2007
5
#
6
# Description:
7
#  Makefile to build the System09 by John Kent
8
#
9
#  This makefile will build John Kent's entire System09 project
10
#  (RTL synthesis and monitor ROMs) and even download the final
11
#  bitstream to the prototype board.
12
#
13
#  You can use Xilinx ISE interactively to add new RTL source files
14
#  to this project.
15
#
16
# Usage:
17
#  Use 'make help' to get a list of options.
18
#
19
# Dependencies:
20
#  Depends on makefile fragments in the 'MKFRAGS' directory.
21
#
22
# Revision History:
23
#   dgb  2007-07-05  Original version
24
#
25
#   dgb  2008-04-07  Split out files into fragments. Modified
26
#                    ROM source generation to be per src directory.
27
#
28
#===================================================================
29
 
30
MKFRAGS := ../../mkfiles
31
export MKFRAGS
32
 
33
BRAM_TYPE := b16
34
export BRAM_TYPE
35
 
36
#===================================================================
37
# User-modifiable variables
38
#
39
# This name must match the name of the design in Xilinx ISE (case
40
# sensitive).
41
DESIGN_NAME := system09
42
#
43
# Constraint file (unfortunately it cannot be extracted from ISE)
44
UCF_FILE    := system09.ucf
45
#
46
# Technology family (unfortunately it cannot be extracted from ISE)
47
FAMILY      := zynq
48
 
49
 
50
 
51
 
52
# List of ROM VHDL files
53
.PHONY: roms
54
roms:
55
        @$(MAKE) -C ../../Tools/as09
56
        @$(MAKE) -C ../../Tools/s19tovhd
57
        @$(MAKE) -C ../../src/sys09bug sys09swt.vhd
58
        @$(MAKE) -C ../../src/Flex9 flex9ram.vhd
59
 
60
#===================================================================
61
# You should not need to edit anything below this line
62
 
63
# XESS Tools
64
ifeq "$(findstring CYGWIN_NT,$(shell uname -s))" "CYGWIN_NT"
65
XESSPATH := $(shell cygpath "$(XSTOOLS_BIN_DIR)")
66
else
67
XESSPATH := $(XSTOOLS_BIN_DIR)
68
endif
69
XSLOAD     := "$(XESSPATH)/xsload.exe"
70
 
71
include ../../mkfiles/xilinx_rules.mk
72
 
73
#===================================================================
74
# TARGETS
75
 
76
.PHONY: all
77
all: bit
78
 
79 167 davidgb
bit: MAP_FLAGS = $(INTSTYLE) -pr b $(K4_OPT) -c 100 -tx off
80 165 davidgb
.PHONY: bit
81
bit: roms $(DESIGN_NAME).bit
82
 
83
.PHONY: impact
84
impact: roms bit do_impact
85
 
86
prom: roms $(DESIGN_NAME).mcs
87
 
88
.PHONY: impact
89
impact: $(DESIGN_NAME).bit $(DESIGN_NAME)_impact.cmd
90
        @$(ECHO)
91
        @$(ECHO) "======= Downloading bitstream using Impact ============"
92
        $(IMPACT) -batch $(DESIGN_NAME)_impact.cmd
93
 
94
.PHONY: help
95
help:
96
        @$(ECHO) "Use this Makefile to regenerate the entire System09 bitstream"
97
        @$(ECHO) "after modifying any of the source RTL or 6809 assembler code."
98
        @$(ECHO) ""
99
        @$(ECHO) "This makefile uses the following project files from the Xilinx ISE"
100
        @$(ECHO) "   $(XST_FILE)"
101
        @$(ECHO) ""
102
        @$(ECHO) "You use Xilinx ISE interactively to add new RTL source files."
103
        @$(ECHO) ""
104
        @$(ECHO) "            Availiable targets"
105
        @$(ECHO)
106
        @$(ECHO) "  For building all or part of the system:"
107
        @$(ECHO) "    roms      - Run asm09 and then generate the VHDL RTL rom files"
108
        @$(ECHO) "    bit       - Rebuild the entire system and generate the bitstream file"
109
        @$(ECHO) "    all       - Rebuild everything"
110
        @$(ECHO) "    prom      - Rebuild the entire system and generate an MCS prom file"
111
        @$(ECHO) "    exo       - Rebuild the entire system and generate an EXO prom file"
112
        @$(ECHO)
113
        @$(ECHO) "  For downloading the bitstream to the board:"
114
        @$(ECHO) "    impact    - Download the bitstream to the FPGA via iMPACT"
115
        @$(ECHO)
116
        @$(ECHO) "  For project maintenance:"
117
        @$(ECHO) "    help      - Print this help text"
118
        @$(ECHO) "    clean     - Clean up the ISE files"
119
        @$(ECHO) "    cleanall  - Clean up the ISE files and the Tools directories"
120
        @$(ECHO) ""
121
 
122
.PHONY: clean
123
clean:
124
        -$(MAKE) -C ../../src/sys09bug clean
125
        -$(MAKE) -C ../../src/Flex9 clean
126
        -$(RM) *.cfi *_bitgen.xwbt *.ncd *.ngc *.ngd *.twr *.bit *.mcs *.stx *.ucf.untf *.mrp *.ptwx *_map.map
127
        -$(RM) *.ncl *.ngm *.prm *_pad.txt *.twx *.log *.syr *.par *.exo *.xpi *.xrpt *.xml
128
        -$(RM) *.cmd_log *.ngr *.bld *_summary.html *.nc1 *.pcf *.bgn tmp.ut
129
        -$(RM) *.pad *.placed_ncd_tracker *.routed_ncd_tracker *_pad.csv *.drc
130
        -$(RM) *.pad_txt $(DESIGN_NAME)_impact.cmd *.unroutes $(DESIGN_NAME)_vhdl.prj
131
        -$(RM) par_usage_statistics.html $(DESIGN_NAME)_envsettings.html usage_statistics_webtalk.html impact.xsl impact_impact.xwbt
132
        -$(RMDIR) _ngo _xmsgs xst xlnx_auto_0_xdb xst_tmp_dirs iseconfig system09_xdb
133
 
134
.PHONY: cleanall
135
cleanall: clean
136
        -$(MAKE) -C ../../Tools/as09 clean
137
        -$(MAKE) -C ../../Tools/s19tovhd clean
138
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.