OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Terasic_DE1/] [system09.pin] - Blame information for rev 117

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 117 dilbert57
 -- Copyright (C) 1991-2010 Altera Corporation
2
 -- Your use of Altera Corporation's design tools, logic functions
3
 -- and other software and tools, and its AMPP partner logic
4
 -- functions, and any output files from any of the foregoing
5
 -- (including device programming or simulation files), and any
6
 -- associated documentation or information are expressly subject
7
 -- to the terms and conditions of the Altera Program License
8
 -- Subscription Agreement, Altera MegaCore Function License
9
 -- Agreement, or other applicable license agreement, including,
10
 -- without limitation, that your use is for the sole purpose of
11
 -- programming logic devices manufactured by Altera and sold by
12
 -- Altera or its authorized distributors.  Please refer to the
13
 -- applicable agreement for further details.
14
 --
15
 -- This is a Quartus II output file. It is for reporting purposes only, and is
16
 -- not intended for use as a Quartus II input file. This file cannot be used
17
 -- to make Quartus II pin assignments - for instructions on how to make pin
18
 -- assignments, please see Quartus II help.
19
 ---------------------------------------------------------------------------------
20
 
21
 
22
 
23
 ---------------------------------------------------------------------------------
24
 -- NC            : No Connect. This pin has no internal connection to the device.
25
 -- DNU           : Do Not Use. This pin MUST NOT be connected.
26
 -- VCCINT        : Dedicated power pin, which MUST be connected to VCC  (1.2V).
27
 -- VCCIO         : Dedicated power pin, which MUST be connected to VCC
28
 --                 of its bank.
29
 --                                     Bank 1:         3.3V
30
 --                                     Bank 2:         3.3V
31
 --                                     Bank 3:         3.3V
32
 --                                     Bank 4:         3.3V
33
 --                                     Bank 5:         3.3V
34
 --                                     Bank 6:         3.3V
35
 --                                     Bank 7:         3.3V
36
 --                                     Bank 8:         3.3V
37
 -- GND           : Dedicated ground pin. Dedicated GND pins MUST be connected to GND.
38
 --                                     It can also be used to report unused dedicated pins. The connection
39
 --                                     on the board for unused dedicated pins depends on whether this will
40
 --                                     be used in a future design. One example is device migration. When
41
 --                                     using device migration, refer to the device pin-tables. If it is a
42
 --                                     GND pin in the pin table or if it will not be used in a future design
43
 --                                     for another purpose the it MUST be connected to GND. If it is an unused
44
 --                                     dedicated pin, then it can be connected to a valid signal on the board
45
 --                                     (low, high, or toggling) if that signal is required for a different
46
 --                                     revision of the design.
47
 -- GND+          : Unused input pin. It can also be used to report unused dual-purpose pins.
48
 --                                     This pin should be connected to GND. It may also be connected  to a
49
 --                                     valid signal  on the board  (low, high, or toggling)  if that signal
50
 --                                     is required for a different revision of the design.
51
 -- GND*          : Unused  I/O  pin.   For transceiver I/O banks, connect each pin marked GND*
52
 --                 either individually through a 10k Ohm resistor to GND or tie all pins
53
 --                 together and connect through a single 10k Ohm resistor to GND.
54
 --                 For non-transceiver I/O banks, connect each pin marked GND* directly to GND
55
 --                 or leave it unconnected.
56
 -- RESERVED      : Unused I/O pin, which MUST be left unconnected.
57
 -- RESERVED_INPUT    : Pin is tri-stated and should be connected to the board.
58
 -- RESERVED_INPUT_WITH_WEAK_PULLUP    : Pin is tri-stated with internal weak pull-up resistor.
59
 -- RESERVED_INPUT_WITH_BUS_HOLD       : Pin is tri-stated with bus-hold circuitry.
60
 -- RESERVED_OUTPUT_DRIVEN_HIGH        : Pin is output driven high.
61
 ---------------------------------------------------------------------------------
62
 
63
 
64
 
65
 ---------------------------------------------------------------------------------
66
 -- Pin directions (input, output or bidir) are based on device operating in user mode.
67
 ---------------------------------------------------------------------------------
68
 
69
Quartus II Version 9.1 Build 304 01/25/2010 Service Pack 1 SJ Web Edition
70
CHIP  "system09"  ASSIGNED TO AN: EP2C20F484C7
71
 
72
Pin Name/Usage               : Location  : Dir.   : I/O Standard      : Voltage : I/O Bank  : User Assignment
73
-------------------------------------------------------------------------------------------------------------
74
GND                          : A1        : gnd    :                   :         :           :
75
VCCIO3                       : A2        : power  :                   : 3.3V    : 3         :
76
i2c_sclk                     : A3        : input  : 3.3-V LVTTL       :         : 3         : Y
77
aud_bclk                     : A4        : input  : 3.3-V LVTTL       :         : 3         : Y
78
aud_daclrck                  : A5        : input  : 3.3-V LVTTL       :         : 3         : Y
79
aud_adclrck                  : A6        : input  : 3.3-V LVTTL       :         : 3         : Y
80
vga_r[2]                     : A7        : output : 3.3-V LVTTL       :         : 3         : Y
81
vga_g[3]                     : A8        : output : 3.3-V LVTTL       :         : 3         : Y
82
vga_b[0]                     : A9        : output : 3.3-V LVTTL       :         : 3         : Y
83
vga_b[2]                     : A10       : output : 3.3-V LVTTL       :         : 3         : Y
84
vga_hs                       : A11       : output : 3.3-V LVTTL       :         : 3         : Y
85
clock_24[1]                  : A12       : input  : 3.3-V LVTTL       :         : 4         : Y
86
gpio_0[0]                    : A13       : input  : 3.3-V LVTTL       :         : 4         : Y
87
gpio_0[2]                    : A14       : input  : 3.3-V LVTTL       :         : 4         : Y
88
gpio_0[4]                    : A15       : input  : 3.3-V LVTTL       :         : 4         : Y
89
gpio_0[6]                    : A16       : input  : 3.3-V LVTTL       :         : 4         : Y
90
gpio_0[8]                    : A17       : input  : 3.3-V LVTTL       :         : 4         : Y
91
gpio_0[10]                   : A18       : input  : 3.3-V LVTTL       :         : 4         : Y
92
gpio_0[12]                   : A19       : input  : 3.3-V LVTTL       :         : 4         : Y
93
gpio_0[14]                   : A20       : input  : 3.3-V LVTTL       :         : 4         : Y
94
VCCIO4                       : A21       : power  :                   : 3.3V    : 4         :
95
GND                          : A22       : gnd    :                   :         :           :
96
VCCIO1                       : AA1       : power  :                   : 3.3V    : 1         :
97
GND                          : AA2       : gnd    :                   :         :           :
98
sram_addr[0]                 : AA3       : output : 3.3-V LVTTL       :         : 8         : Y
99
sram_addr[2]                 : AA4       : output : 3.3-V LVTTL       :         : 8         : Y
100
sram_addr[4]                 : AA5       : output : 3.3-V LVTTL       :         : 8         : Y
101
sram_dq[0]                   : AA6       : bidir  : 3.3-V LVTTL       :         : 8         : Y
102
sram_dq[2]                   : AA7       : bidir  : 3.3-V LVTTL       :         : 8         : Y
103
sram_dq[4]                   : AA8       : bidir  : 3.3-V LVTTL       :         : 8         : Y
104
sram_dq[6]                   : AA9       : bidir  : 3.3-V LVTTL       :         : 8         : Y
105
sram_we_n                    : AA10      : output : 3.3-V LVTTL       :         : 8         : Y
106
sram_addr[6]                 : AA11      : output : 3.3-V LVTTL       :         : 8         : Y
107
fl_addr[15]                  : AA12      : output : 3.3-V LVTTL       :         : 7         : Y
108
fl_addr[13]                  : AA13      : output : 3.3-V LVTTL       :         : 7         : Y
109
fl_addr[1]                   : AA14      : output : 3.3-V LVTTL       :         : 7         : Y
110
fl_oe_n                      : AA15      : output : 3.3-V LVTTL       :         : 7         : Y
111
fl_dq[1]                     : AA16      : input  : 3.3-V LVTTL       :         : 7         : Y
112
fl_dq[3]                     : AA17      : input  : 3.3-V LVTTL       :         : 7         : Y
113
fl_dq[5]                     : AA18      : input  : 3.3-V LVTTL       :         : 7         : Y
114
fl_dq[7]                     : AA19      : input  : 3.3-V LVTTL       :         : 7         : Y
115
fl_addr[17]                  : AA20      : output : 3.3-V LVTTL       :         : 7         : Y
116
GND                          : AA21      : gnd    :                   :         :           :
117
VCCIO6                       : AA22      : power  :                   : 3.3V    : 6         :
118
GND                          : AB1       : gnd    :                   :         :           :
119
VCCIO8                       : AB2       : power  :                   : 3.3V    : 8         :
120
sram_addr[1]                 : AB3       : output : 3.3-V LVTTL       :         : 8         : Y
121
sram_addr[3]                 : AB4       : output : 3.3-V LVTTL       :         : 8         : Y
122
sram_ce_n                    : AB5       : output : 3.3-V LVTTL       :         : 8         : Y
123
sram_dq[1]                   : AB6       : bidir  : 3.3-V LVTTL       :         : 8         : Y
124
sram_dq[3]                   : AB7       : bidir  : 3.3-V LVTTL       :         : 8         : Y
125
sram_dq[5]                   : AB8       : bidir  : 3.3-V LVTTL       :         : 8         : Y
126
sram_dq[7]                   : AB9       : bidir  : 3.3-V LVTTL       :         : 8         : Y
127
sram_addr[5]                 : AB10      : output : 3.3-V LVTTL       :         : 8         : Y
128
sram_addr[7]                 : AB11      : output : 3.3-V LVTTL       :         : 8         : Y
129
fl_addr[16]                  : AB12      : output : 3.3-V LVTTL       :         : 7         : Y
130
fl_addr[14]                  : AB13      : output : 3.3-V LVTTL       :         : 7         : Y
131
fl_addr[12]                  : AB14      : output : 3.3-V LVTTL       :         : 7         : Y
132
RESERVED_INPUT               : AB15      :        :                   :         : 7         :
133
fl_dq[0]                     : AB16      : input  : 3.3-V LVTTL       :         : 7         : Y
134
fl_dq[2]                     : AB17      : input  : 3.3-V LVTTL       :         : 7         : Y
135
fl_dq[4]                     : AB18      : input  : 3.3-V LVTTL       :         : 7         : Y
136
fl_dq[6]                     : AB19      : input  : 3.3-V LVTTL       :         : 7         : Y
137
fl_addr[0]                   : AB20      : output : 3.3-V LVTTL       :         : 7         : Y
138
VCCIO7                       : AB21      : power  :                   : 3.3V    : 7         :
139
GND                          : AB22      : gnd    :                   :         :           :
140
VCCIO2                       : B1        : power  :                   : 3.3V    : 2         :
141
GND                          : B2        : gnd    :                   :         :           :
142
i2c_sdat                     : B3        : input  : 3.3-V LVTTL       :         : 3         : Y
143
aud_xck                      : B4        : input  : 3.3-V LVTTL       :         : 3         : Y
144
aud_dacdat                   : B5        : input  : 3.3-V LVTTL       :         : 3         : Y
145
aud_adcdat                   : B6        : input  : 3.3-V LVTTL       :         : 3         : Y
146
vga_r[3]                     : B7        : output : 3.3-V LVTTL       :         : 3         : Y
147
vga_g[0]                     : B8        : output : 3.3-V LVTTL       :         : 3         : Y
148
vga_g[2]                     : B9        : output : 3.3-V LVTTL       :         : 3         : Y
149
vga_b[3]                     : B10       : output : 3.3-V LVTTL       :         : 3         : Y
150
vga_vs                       : B11       : output : 3.3-V LVTTL       :         : 3         : Y
151
clock_24[0]                  : B12       : input  : 3.3-V LVTTL       :         : 4         : Y
152
gpio_0[1]                    : B13       : input  : 3.3-V LVTTL       :         : 4         : Y
153
gpio_0[3]                    : B14       : input  : 3.3-V LVTTL       :         : 4         : Y
154
gpio_0[5]                    : B15       : input  : 3.3-V LVTTL       :         : 4         : Y
155
gpio_0[7]                    : B16       : input  : 3.3-V LVTTL       :         : 4         : Y
156
gpio_0[9]                    : B17       : input  : 3.3-V LVTTL       :         : 4         : Y
157
gpio_0[11]                   : B18       : input  : 3.3-V LVTTL       :         : 4         : Y
158
gpio_0[13]                   : B19       : input  : 3.3-V LVTTL       :         : 4         : Y
159
gpio_0[15]                   : B20       : input  : 3.3-V LVTTL       :         : 4         : Y
160
GND                          : B21       : gnd    :                   :         :           :
161
VCCIO5                       : B22       : power  :                   : 3.3V    : 5         :
162
hex2[3]                      : C1        : output : 3.3-V LVTTL       :         : 2         : Y
163
hex2[2]                      : C2        : output : 3.3-V LVTTL       :         : 2         : Y
164
~nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C3        : input  : 3.3-V LVTTL       :         : 2         : N
165
~ASDO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : C4        : input  : 3.3-V LVTTL       :         : 2         : N
166
GND                          : C5        : gnd    :                   :         :           :
167
VCCIO3                       : C6        : power  :                   : 3.3V    : 3         :
168
tck                          : C7        : input  : 3.3-V LVTTL       :         : 3         : Y
169
GND                          : C8        : gnd    :                   :         :           :
170
vga_r[1]                     : C9        : output : 3.3-V LVTTL       :         : 3         : Y
171
vga_g[1]                     : C10       : output : 3.3-V LVTTL       :         : 3         : Y
172
VCCIO3                       : C11       : power  :                   : 3.3V    : 3         :
173
VCCIO4                       : C12       : power  :                   : 3.3V    : 4         :
174
RESERVED_INPUT               : C13       :        :                   :         : 4         :
175
gpio_1[10]                   : C14       : input  : 3.3-V LVTTL       :         : 4         : Y
176
GND                          : C15       : gnd    :                   :         :           :
177
RESERVED_INPUT               : C16       :        :                   :         : 4         :
178
gpio_1[14]                   : C17       : input  : 3.3-V LVTTL       :         : 4         : Y
179
gpio_1[15]                   : C18       : input  : 3.3-V LVTTL       :         : 4         : Y
180
gpio_1[16]                   : C19       : input  : 3.3-V LVTTL       :         : 5         : Y
181
gpio_1[17]                   : C20       : input  : 3.3-V LVTTL       :         : 5         : Y
182
gpio_0[16]                   : C21       : input  : 3.3-V LVTTL       :         : 5         : Y
183
gpio_0[17]                   : C22       : input  : 3.3-V LVTTL       :         : 5         : Y
184
hex1[6]                      : D1        : output : 3.3-V LVTTL       :         : 2         : Y
185
hex1[5]                      : D2        : output : 3.3-V LVTTL       :         : 2         : Y
186
hex2[6]                      : D3        : output : 3.3-V LVTTL       :         : 2         : Y
187
hex3[6]                      : D4        : output : 3.3-V LVTTL       :         : 2         : Y
188
hex3[1]                      : D5        : output : 3.3-V LVTTL       :         : 2         : Y
189
hex3[2]                      : D6        : output : 3.3-V LVTTL       :         : 2         : Y
190
tdo                          : D7        : input  : 3.3-V LVTTL       :         : 3         : Y
191
tcs                          : D8        : input  : 3.3-V LVTTL       :         : 3         : Y
192
vga_r[0]                     : D9        : output : 3.3-V LVTTL       :         : 3         : Y
193
GND                          : D10       : gnd    :                   :         :           :
194
vga_b[1]                     : D11       : output : 3.3-V LVTTL       :         : 3         : Y
195
clock_27                     : D12       : input  : 3.3-V LVTTL       :         : 3         : Y
196
GND                          : D13       : gnd    :                   :         :           :
197
gpio_1[11]                   : D14       : input  : 3.3-V LVTTL       :         : 4         : Y
198
gpio_1[12]                   : D15       : input  : 3.3-V LVTTL       :         : 4         : Y
199
gpio_1[13]                   : D16       : input  : 3.3-V LVTTL       :         : 4         : Y
200
VCCIO4                       : D17       : power  :                   : 3.3V    : 4         :
201
GND                          : D18       : gnd    :                   :         :           :
202
gpio_1[18]                   : D19       : input  : 3.3-V LVTTL       :         : 5         : Y
203
gpio_1[19]                   : D20       : input  : 3.3-V LVTTL       :         : 5         : Y
204
gpio_0[18]                   : D21       : input  : 3.3-V LVTTL       :         : 5         : Y
205
gpio_0[19]                   : D22       : input  : 3.3-V LVTTL       :         : 5         : Y
206
hex1[0]                      : E1        : output : 3.3-V LVTTL       :         : 2         : Y
207
hex0[6]                      : E2        : output : 3.3-V LVTTL       :         : 2         : Y
208
hex2[4]                      : E3        : output : 3.3-V LVTTL       :         : 2         : Y
209
hex2[5]                      : E4        : output : 3.3-V LVTTL       :         : 2         : Y
210
VCCD_PLL3                    : E5        : power  :                   : 1.2V    :           :
211
VCCA_PLL3                    : E6        : power  :                   : 1.2V    :           :
212
RESERVED_INPUT               : E7        :        :                   :         : 3         :
213
tdi                          : E8        : input  : 3.3-V LVTTL       :         : 3         : Y
214
RESERVED_INPUT               : E9        :        :                   :         : 3         :
215
VCCIO3                       : E10       : power  :                   : 3.3V    : 3         :
216
RESERVED_INPUT               : E11       :        :                   :         : 3         :
217
GND+                         : E12       :        :                   :         : 3         :
218
VCCIO4                       : E13       : power  :                   : 3.3V    : 4         :
219
gpio_1[4]                    : E14       : input  : 3.3-V LVTTL       :         : 4         : Y
220
gpio_1[5]                    : E15       : input  : 3.3-V LVTTL       :         : 4         : Y
221
GNDA_PLL2                    : E16       : gnd    :                   :         :           :
222
GND_PLL2                     : E17       : gnd    :                   :         :           :
223
gpio_1[23]                   : E18       : input  : 3.3-V LVTTL       :         : 5         : Y
224
gpio_1[22]                   : E19       : input  : 3.3-V LVTTL       :         : 5         : Y
225
gpio_1[20]                   : E20       : input  : 3.3-V LVTTL       :         : 5         : Y
226
gpio_0[20]                   : E21       : input  : 3.3-V LVTTL       :         : 5         : Y
227
gpio_0[21]                   : E22       : input  : 3.3-V LVTTL       :         : 5         : Y
228
hex0[5]                      : F1        : output : 3.3-V LVTTL       :         : 2         : Y
229
hex0[4]                      : F2        : output : 3.3-V LVTTL       :         : 2         : Y
230
hex3[5]                      : F3        : output : 3.3-V LVTTL       :         : 2         : Y
231
hex3[0]                      : F4        : output : 3.3-V LVTTL       :         : 2         : Y
232
GND_PLL3                     : F5        : gnd    :                   :         :           :
233
GND_PLL3                     : F6        : gnd    :                   :         :           :
234
GNDA_PLL3                    : F7        : gnd    :                   :         :           :
235
RESERVED_INPUT               : F8        :        :                   :         : 3         :
236
RESERVED_INPUT               : F9        :        :                   :         : 3         :
237
RESERVED_INPUT               : F10       :        :                   :         : 3         :
238
RESERVED_INPUT               : F11       :        :                   :         : 3         :
239
gpio_1[8]                    : F12       : input  : 3.3-V LVTTL       :         : 4         : Y
240
gpio_1[9]                    : F13       : input  : 3.3-V LVTTL       :         : 4         : Y
241
uart_rxd                     : F14       : input  : 3.3-V LVTTL       :         : 4         : Y
242
gpio_1[6]                    : F15       : input  : 3.3-V LVTTL       :         : 4         : Y
243
VCCA_PLL2                    : F16       : power  :                   : 1.2V    :           :
244
VCCD_PLL2                    : F17       : power  :                   : 1.2V    :           :
245
GND_PLL2                     : F18       : gnd    :                   :         :           :
246
GND                          : F19       : gnd    :                   :         :           :
247
gpio_1[21]                   : F20       : input  : 3.3-V LVTTL       :         : 5         : Y
248
gpio_0[22]                   : F21       : input  : 3.3-V LVTTL       :         : 5         : Y
249
gpio_0[23]                   : F22       : input  : 3.3-V LVTTL       :         : 5         : Y
250
NC                           : G1        :        :                   :         :           :
251
NC                           : G2        :        :                   :         :           :
252
hex1[4]                      : G3        : output : 3.3-V LVTTL       :         : 2         : Y
253
GND                          : G4        : gnd    :                   :         :           :
254
hex2[0]                      : G5        : output : 3.3-V LVTTL       :         : 2         : Y
255
hex2[1]                      : G6        : output : 3.3-V LVTTL       :         : 2         : Y
256
RESERVED_INPUT               : G7        :        :                   :         : 3         :
257
RESERVED_INPUT               : G8        :        :                   :         : 3         :
258
VCCIO3                       : G9        : power  :                   : 3.3V    : 3         :
259
GND                          : G10       : gnd    :                   :         :           :
260
RESERVED_INPUT               : G11       :        :                   :         : 3         :
261
uart_txd                     : G12       : output : 3.3-V LVTTL       :         : 4         : Y
262
GND                          : G13       : gnd    :                   :         :           :
263
VCCIO4                       : G14       : power  :                   : 3.3V    : 4         :
264
gpio_1[3]                    : G15       : input  : 3.3-V LVTTL       :         : 4         : Y
265
gpio_1[7]                    : G16       : input  : 3.3-V LVTTL       :         : 4         : Y
266
gpio_1[26]                   : G17       : input  : 3.3-V LVTTL       :         : 5         : Y
267
gpio_1[25]                   : G18       : input  : 3.3-V LVTTL       :         : 5         : Y
268
VCCIO5                       : G19       : power  :                   : 3.3V    : 5         :
269
gpio_1[24]                   : G20       : input  : 3.3-V LVTTL       :         : 5         : Y
270
gpio_0[24]                   : G21       : input  : 3.3-V LVTTL       :         : 5         : Y
271
gpio_0[25]                   : G22       : input  : 3.3-V LVTTL       :         : 5         : Y
272
hex0[3]                      : H1        : output : 3.3-V LVTTL       :         : 2         : Y
273
hex0[2]                      : H2        : output : 3.3-V LVTTL       :         : 2         : Y
274
RESERVED_INPUT               : H3        :        :                   :         : 2         :
275
hex1[3]                      : H4        : output : 3.3-V LVTTL       :         : 2         : Y
276
hex1[2]                      : H5        : output : 3.3-V LVTTL       :         : 2         : Y
277
hex1[1]                      : H6        : output : 3.3-V LVTTL       :         : 2         : Y
278
RESERVED_INPUT               : H7        :        :                   :         : 3         :
279
RESERVED_INPUT               : H8        :        :                   :         : 3         :
280
RESERVED_INPUT               : H9        :        :                   :         : 3         :
281
RESERVED_INPUT               : H10       :        :                   :         : 3         :
282
RESERVED_INPUT               : H11       :        :                   :         : 3         :
283
gpio_1[0]                    : H12       : input  : 3.3-V LVTTL       :         : 4         : Y
284
gpio_1[1]                    : H13       : input  : 3.3-V LVTTL       :         : 4         : Y
285
gpio_1[2]                    : H14       : input  : 3.3-V LVTTL       :         : 4         : Y
286
ps2_clk                      : H15       : bidir  : 3.3-V LVTTL       :         : 4         : Y
287
RESERVED_INPUT               : H16       :        :                   :         : 5         :
288
gpio_1[27]                   : H17       : input  : 3.3-V LVTTL       :         : 5         : Y
289
gpio_1[29]                   : H18       : input  : 3.3-V LVTTL       :         : 5         : Y
290
RESERVED_INPUT               : H19       :        :                   :         : 5         :
291
GND                          : H20       : gnd    :                   :         :           :
292
NC                           : H21       :        :                   :         :           :
293
NC                           : H22       :        :                   :         :           :
294
hex0[1]                      : J1        : output : 3.3-V LVTTL       :         : 2         : Y
295
hex0[0]                      : J2        : output : 3.3-V LVTTL       :         : 2         : Y
296
NC                           : J3        :        :                   :         :           :
297
hex3[3]                      : J4        : output : 3.3-V LVTTL       :         : 2         : Y
298
NC                           : J5        :        :                   :         :           :
299
NC                           : J6        :        :                   :         :           :
300
VCCIO2                       : J7        : power  :                   : 3.3V    : 2         :
301
NC                           : J8        :        :                   :         :           :
302
NC                           : J9        :        :                   :         :           :
303
VCCINT                       : J10       : power  :                   : 1.2V    :           :
304
VCCINT                       : J11       : power  :                   : 1.2V    :           :
305
VCCINT                       : J12       : power  :                   : 1.2V    :           :
306
VCCINT                       : J13       : power  :                   : 1.2V    :           :
307
ps2_dat                      : J14       : bidir  : 3.3-V LVTTL       :         : 4         : Y
308
gpio_1[28]                   : J15       : input  : 3.3-V LVTTL       :         : 5         : Y
309
VCCIO5                       : J16       : power  :                   : 3.3V    : 5         :
310
RESERVED_INPUT               : J17       :        :                   :         : 5         :
311
gpio_0[32]                   : J18       : input  : 3.3-V LVTTL       :         : 5         : Y
312
gpio_0[30]                   : J19       : input  : 3.3-V LVTTL       :         : 5         : Y
313
gpio_0[31]                   : J20       : input  : 3.3-V LVTTL       :         : 5         : Y
314
gpio_0[26]                   : J21       : input  : 3.3-V LVTTL       :         : 5         : Y
315
gpio_0[27]                   : J22       : input  : 3.3-V LVTTL       :         : 5         : Y
316
nCE                          : K1        :        :                   :         : 2         :
317
TCK                          : K2        : input  :                   :         : 2         :
318
GND                          : K3        : gnd    :                   :         :           :
319
DATA0                        : K4        : input  :                   :         : 2         :
320
TDI                          : K5        : input  :                   :         : 2         :
321
TMS                          : K6        : input  :                   :         : 2         :
322
GND                          : K7        : gnd    :                   :         :           :
323
NC                           : K8        :        :                   :         :           :
324
VCCINT                       : K9        : power  :                   : 1.2V    :           :
325
GND                          : K10       : gnd    :                   :         :           :
326
GND                          : K11       : gnd    :                   :         :           :
327
GND                          : K12       : gnd    :                   :         :           :
328
GND                          : K13       : gnd    :                   :         :           :
329
VCCINT                       : K14       : power  :                   : 1.2V    :           :
330
NC                           : K15       :        :                   :         :           :
331
GND                          : K16       : gnd    :                   :         :           :
332
NC                           : K17       :        :                   :         :           :
333
NC                           : K18       :        :                   :         :           :
334
GND                          : K19       : gnd    :                   :         :           :
335
gpio_0[33]                   : K20       : input  : 3.3-V LVTTL       :         : 5         : Y
336
gpio_0[28]                   : K21       : input  : 3.3-V LVTTL       :         : 5         : Y
337
gpio_0[29]                   : K22       : input  : 3.3-V LVTTL       :         : 5         : Y
338
clock_50                     : L1        : input  : 3.3-V LVTTL       :         : 2         : Y
339
sw[9]                        : L2        : input  : 3.3-V LVTTL       :         : 2         : Y
340
VCCIO2                       : L3        : power  :                   : 3.3V    : 2         :
341
nCONFIG                      : L4        :        :                   :         : 2         :
342
TDO                          : L5        : output :                   :         : 2         :
343
DCLK                         : L6        :        :                   :         : 2         :
344
NC                           : L7        :        :                   :         :           :
345
hex3[4]                      : L8        : output : 3.3-V LVTTL       :         : 2         : Y
346
VCCINT                       : L9        : power  :                   : 1.2V    :           :
347
GND                          : L10       : gnd    :                   :         :           :
348
GND                          : L11       : gnd    :                   :         :           :
349
GND                          : L12       : gnd    :                   :         :           :
350
GND                          : L13       : gnd    :                   :         :           :
351
VCCINT                       : L14       : power  :                   : 1.2V    :           :
352
NC                           : L15       :        :                   :         :           :
353
NC                           : L16       :        :                   :         :           :
354
NC                           : L17       :        :                   :         :           :
355
gpio_0[35]                   : L18       : input  : 3.3-V LVTTL       :         : 5         : Y
356
gpio_0[34]                   : L19       : input  : 3.3-V LVTTL       :         : 5         : Y
357
VCCIO5                       : L20       : power  :                   : 3.3V    : 5         :
358
sw[1]                        : L21       : input  : 3.3-V LVTTL       :         : 5         : Y
359
sw[0]                        : L22       : input  : 3.3-V LVTTL       :         : 5         : Y
360
sw[8]                        : M1        : input  : 3.3-V LVTTL       :         : 1         : Y
361
sw[7]                        : M2        : input  : 3.3-V LVTTL       :         : 1         : Y
362
VCCIO1                       : M3        : power  :                   : 3.3V    : 1         :
363
GND                          : M4        : gnd    :                   :         :           :
364
dram_udqm                    : M5        : input  : 3.3-V LVTTL       :         : 1         : Y
365
RESERVED_INPUT               : M6        :        :                   :         : 1         :
366
NC                           : M7        :        :                   :         :           :
367
NC                           : M8        :        :                   :         :           :
368
VCCINT                       : M9        : power  :                   : 1.2V    :           :
369
GND                          : M10       : gnd    :                   :         :           :
370
GND                          : M11       : gnd    :                   :         :           :
371
GND                          : M12       : gnd    :                   :         :           :
372
GND                          : M13       : gnd    :                   :         :           :
373
VCCINT                       : M14       : power  :                   : 1.2V    :           :
374
NC                           : M15       :        :                   :         :           :
375
NC                           : M16       :        :                   :         :           :
376
MSEL0                        : M17       :        :                   :         : 6         :
377
RESERVED_INPUT               : M18       :        :                   :         : 6         :
378
RESERVED_INPUT               : M19       :        :                   :         : 6         :
379
VCCIO6                       : M20       : power  :                   : 3.3V    : 6         :
380
ext_clock                    : M21       : input  : 3.3-V LVTTL       :         : 6         : Y
381
sw[2]                        : M22       : input  : 3.3-V LVTTL       :         : 6         : Y
382
dram_dq[8]                   : N1        : input  : 3.3-V LVTTL       :         : 1         : Y
383
dram_dq[9]                   : N2        : input  : 3.3-V LVTTL       :         : 1         : Y
384
dram_cke                     : N3        : input  : 3.3-V LVTTL       :         : 1         : Y
385
dram_addr[9]                 : N4        : output : 3.3-V LVTTL       :         : 1         : Y
386
NC                           : N5        :        :                   :         :           :
387
dram_addr[11]                : N6        : output : 3.3-V LVTTL       :         : 1         : Y
388
GND                          : N7        : gnd    :                   :         :           :
389
NC                           : N8        :        :                   :         :           :
390
VCCINT                       : N9        : power  :                   : 1.2V    :           :
391
GND                          : N10       : gnd    :                   :         :           :
392
GND                          : N11       : gnd    :                   :         :           :
393
GND                          : N12       : gnd    :                   :         :           :
394
GND                          : N13       : gnd    :                   :         :           :
395
VCCINT                       : N14       : power  :                   : 1.2V    :           :
396
gpio_1[33]                   : N15       : input  : 3.3-V LVTTL       :         : 6         : Y
397
GND                          : N16       : gnd    :                   :         :           :
398
MSEL1                        : N17       :        :                   :         : 6         :
399
CONF_DONE                    : N18       :        :                   :         : 6         :
400
GND                          : N19       : gnd    :                   :         :           :
401
nSTATUS                      : N20       :        :                   :         : 6         :
402
gpio_1[31]                   : N21       : input  : 3.3-V LVTTL       :         : 6         : Y
403
gpio_1[30]                   : N22       : input  : 3.3-V LVTTL       :         : 6         : Y
404
dram_dq[10]                  : P1        : input  : 3.3-V LVTTL       :         : 1         : Y
405
dram_dq[11]                  : P2        : input  : 3.3-V LVTTL       :         : 1         : Y
406
dram_addr[8]                 : P3        : output : 3.3-V LVTTL       :         : 1         : Y
407
NC                           : P4        :        :                   :         :           :
408
dram_addr[7]                 : P5        : output : 3.3-V LVTTL       :         : 1         : Y
409
dram_addr[6]                 : P6        : output : 3.3-V LVTTL       :         : 1         : Y
410
VCCIO1                       : P7        : power  :                   : 3.3V    : 1         :
411
RESERVED_INPUT               : P8        :        :                   :         : 8         :
412
RESERVED_INPUT               : P9        :        :                   :         : 8         :
413
VCCINT                       : P10       : power  :                   : 1.2V    :           :
414
VCCINT                       : P11       : power  :                   : 1.2V    :           :
415
VCCINT                       : P12       : power  :                   : 1.2V    :           :
416
VCCINT                       : P13       : power  :                   : 1.2V    :           :
417
NC                           : P14       :        :                   :         :           :
418
gpio_1[32]                   : P15       : input  : 3.3-V LVTTL       :         : 6         : Y
419
VCCIO6                       : P16       : power  :                   : 3.3V    : 6         :
420
gpio_1[34]                   : P17       : input  : 3.3-V LVTTL       :         : 6         : Y
421
gpio_1[35]                   : P18       : input  : 3.3-V LVTTL       :         : 6         : Y
422
NC                           : P19       :        :                   :         :           :
423
NC                           : P20       :        :                   :         :           :
424
NC                           : P21       :        :                   :         :           :
425
NC                           : P22       :        :                   :         :           :
426
dram_dq[12]                  : R1        : input  : 3.3-V LVTTL       :         : 1         : Y
427
dram_dq[13]                  : R2        : input  : 3.3-V LVTTL       :         : 1         : Y
428
GND                          : R3        : gnd    :                   :         :           :
429
NC                           : R4        :        :                   :         :           :
430
dram_addr[5]                 : R5        : output : 3.3-V LVTTL       :         : 1         : Y
431
dram_addr[4]                 : R6        : output : 3.3-V LVTTL       :         : 1         : Y
432
dram_ldqm                    : R7        : input  : 3.3-V LVTTL       :         : 1         : Y
433
dram_we_n                    : R8        : output : 3.3-V LVTTL       :         : 1         : Y
434
sram_dq[12]                  : R9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
435
sram_addr[14]                : R10       : output : 3.3-V LVTTL       :         : 8         : Y
436
sram_addr[10]                : R11       : output : 3.3-V LVTTL       :         : 8         : Y
437
fl_addr[10]                  : R12       : output : 3.3-V LVTTL       :         : 7         : Y
438
fl_addr[21]                  : R13       : output : 3.3-V LVTTL       :         : 7         : Y
439
fl_addr[8]                   : R14       : output : 3.3-V LVTTL       :         : 7         : Y
440
fl_addr[3]                   : R15       : output : 3.3-V LVTTL       :         : 7         : Y
441
RESERVED_INPUT               : R16       :        :                   :         : 7         :
442
ledr[9]                      : R17       : output : 3.3-V LVTTL       :         : 6         : Y
443
ledr[8]                      : R18       : output : 3.3-V LVTTL       :         : 6         : Y
444
ledr[1]                      : R19       : output : 3.3-V LVTTL       :         : 6         : Y
445
ledr[0]                      : R20       : output : 3.3-V LVTTL       :         : 6         : Y
446
key[1]                       : R21       : input  : 3.3-V LVTTL       :         : 6         : Y
447
key[0]                       : R22       : input  : 3.3-V LVTTL       :         : 6         : Y
448
dram_dq[14]                  : T1        : input  : 3.3-V LVTTL       :         : 1         : Y
449
dram_dq[15]                  : T2        : input  : 3.3-V LVTTL       :         : 1         : Y
450
dram_cas_n                   : T3        : output : 3.3-V LVTTL       :         : 1         : Y
451
VCCIO1                       : T4        : power  :                   : 3.3V    : 1         :
452
dram_ras_n                   : T5        : output : 3.3-V LVTTL       :         : 1         : Y
453
dram_cs_n                    : T6        : output : 3.3-V LVTTL       :         : 1         : Y
454
sram_addr[15]                : T7        : output : 3.3-V LVTTL       :         : 8         : Y
455
sram_oe_n                    : T8        : output : 3.3-V LVTTL       :         : 8         : Y
456
VCCIO8                       : T9        : power  :                   : 3.3V    : 8         :
457
GND                          : T10       : gnd    :                   :         :           :
458
sram_addr[11]                : T11       : output : 3.3-V LVTTL       :         : 8         : Y
459
fl_addr[11]                  : T12       : output : 3.3-V LVTTL       :         : 7         : Y
460
GND                          : T13       : gnd    :                   :         :           :
461
VCCIO7                       : T14       : power  :                   : 3.3V    : 7         :
462
fl_addr[4]                   : T15       : output : 3.3-V LVTTL       :         : 7         : Y
463
RESERVED_INPUT               : T16       :        :                   :         : 7         :
464
GND_PLL4                     : T17       : gnd    :                   :         :           :
465
ledr[4]                      : T18       : output : 3.3-V LVTTL       :         : 6         : Y
466
VCCIO6                       : T19       : power  :                   : 3.3V    : 6         :
467
GND                          : T20       : gnd    :                   :         :           :
468
key[3]                       : T21       : input  : 3.3-V LVTTL       :         : 6         : Y
469
key[2]                       : T22       : input  : 3.3-V LVTTL       :         : 6         : Y
470
dram_dq[0]                   : U1        : input  : 3.3-V LVTTL       :         : 1         : Y
471
dram_dq[1]                   : U2        : input  : 3.3-V LVTTL       :         : 1         : Y
472
dram_ba_0                    : U3        : input  : 3.3-V LVTTL       :         : 1         : Y
473
dram_clk                     : U4        : input  : 3.3-V LVTTL       :         : 1         : Y
474
GND_PLL1                     : U5        : gnd    :                   :         :           :
475
VCCD_PLL1                    : U6        : power  :                   : 1.2V    :           :
476
VCCA_PLL1                    : U7        : power  :                   : 1.2V    :           :
477
sram_dq[15]                  : U8        : bidir  : 3.3-V LVTTL       :         : 8         : Y
478
sram_dq[11]                  : U9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
479
sram_addr[13]                : U10       : output : 3.3-V LVTTL       :         : 8         : Y
480
sw[6]                        : U11       : input  : 3.3-V LVTTL       :         : 8         : Y
481
sw[5]                        : U12       : input  : 3.3-V LVTTL       :         : 8         : Y
482
fl_addr[20]                  : U13       : output : 3.3-V LVTTL       :         : 7         : Y
483
fl_addr[18]                  : U14       : output : 3.3-V LVTTL       :         : 7         : Y
484
fl_addr[5]                   : U15       : output : 3.3-V LVTTL       :         : 7         : Y
485
VCCA_PLL4                    : U16       : power  :                   : 1.2V    :           :
486
VCCD_PLL4                    : U17       : power  :                   : 1.2V    :           :
487
ledr[7]                      : U18       : output : 3.3-V LVTTL       :         : 6         : Y
488
ledr[2]                      : U19       : output : 3.3-V LVTTL       :         : 6         : Y
489
RESERVED_INPUT               : U20       :        :                   :         : 6         :
490
ledg[1]                      : U21       : output : 3.3-V LVTTL       :         : 6         : Y
491
ledg[0]                      : U22       : output : 3.3-V LVTTL       :         : 6         : Y
492
dram_dq[2]                   : V1        : input  : 3.3-V LVTTL       :         : 1         : Y
493
dram_dq[3]                   : V2        : input  : 3.3-V LVTTL       :         : 1         : Y
494
GND                          : V3        : gnd    :                   :         :           :
495
dram_ba_1                    : V4        : input  : 3.3-V LVTTL       :         : 1         : Y
496
GND_PLL1                     : V5        : gnd    :                   :         :           :
497
GND                          : V6        : gnd    :                   :         :           :
498
GNDA_PLL1                    : V7        : gnd    :                   :         :           :
499
sram_dq[14]                  : V8        : bidir  : 3.3-V LVTTL       :         : 8         : Y
500
sram_dq[10]                  : V9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
501
VCCIO8                       : V10       : power  :                   : 3.3V    : 8         :
502
sram_addr[8]                 : V11       : output : 3.3-V LVTTL       :         : 8         : Y
503
sw[3]                        : V12       : input  : 3.3-V LVTTL       :         : 7         : Y
504
VCCIO7                       : V13       : power  :                   : 3.3V    : 7         :
505
fl_addr[19]                  : V14       : output : 3.3-V LVTTL       :         : 7         : Y
506
fl_addr[6]                   : V15       : output : 3.3-V LVTTL       :         : 7         : Y
507
GNDA_PLL4                    : V16       : gnd    :                   :         :           :
508
GND                          : V17       : gnd    :                   :         :           :
509
GND_PLL4                     : V18       : gnd    :                   :         :           :
510
ledr[5]                      : V19       : output : 3.3-V LVTTL       :         : 6         : Y
511
RESERVED_INPUT               : V20       :        :                   :         : 6         :
512
ledg[3]                      : V21       : output : 3.3-V LVTTL       :         : 6         : Y
513
ledg[2]                      : V22       : output : 3.3-V LVTTL       :         : 6         : Y
514
dram_dq[4]                   : W1        : input  : 3.3-V LVTTL       :         : 1         : Y
515
dram_dq[5]                   : W2        : input  : 3.3-V LVTTL       :         : 1         : Y
516
dram_addr[10]                : W3        : output : 3.3-V LVTTL       :         : 1         : Y
517
dram_addr[0]                 : W4        : output : 3.3-V LVTTL       :         : 1         : Y
518
dram_addr[1]                 : W5        : output : 3.3-V LVTTL       :         : 1         : Y
519
VCCIO8                       : W6        : power  :                   : 3.3V    : 8         :
520
sram_ub_n                    : W7        : output : 3.3-V LVTTL       :         : 8         : Y
521
sram_dq[13]                  : W8        : bidir  : 3.3-V LVTTL       :         : 8         : Y
522
sram_dq[9]                   : W9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
523
GND                          : W10       : gnd    :                   :         :           :
524
sram_addr[9]                 : W11       : output : 3.3-V LVTTL       :         : 8         : Y
525
sw[4]                        : W12       : input  : 3.3-V LVTTL       :         : 7         : Y
526
GND                          : W13       : gnd    :                   :         :           :
527
fl_rst_n                     : W14       : input  : 3.3-V LVTTL       :         : 7         : Y
528
fl_addr[7]                   : W15       : output : 3.3-V LVTTL       :         : 7         : Y
529
RESERVED_INPUT               : W16       :        :                   :         : 7         :
530
VCCIO7                       : W17       : power  :                   : 3.3V    : 7         :
531
NC                           : W18       :        :                   :         :           :
532
GND                          : W19       : gnd    :                   :         :           :
533
RESERVED_INPUT               : W20       :        :                   :         : 6         :
534
ledg[5]                      : W21       : output : 3.3-V LVTTL       :         : 6         : Y
535
ledg[4]                      : W22       : output : 3.3-V LVTTL       :         : 6         : Y
536
dram_dq[6]                   : Y1        : input  : 3.3-V LVTTL       :         : 1         : Y
537
dram_dq[7]                   : Y2        : input  : 3.3-V LVTTL       :         : 1         : Y
538
dram_addr[2]                 : Y3        : output : 3.3-V LVTTL       :         : 1         : Y
539
dram_addr[3]                 : Y4        : output : 3.3-V LVTTL       :         : 1         : Y
540
sram_addr[17]                : Y5        : output : 3.3-V LVTTL       :         : 8         : Y
541
sram_addr[16]                : Y6        : output : 3.3-V LVTTL       :         : 8         : Y
542
sram_lb_n                    : Y7        : output : 3.3-V LVTTL       :         : 8         : Y
543
GND                          : Y8        : gnd    :                   :         :           :
544
sram_dq[8]                   : Y9        : bidir  : 3.3-V LVTTL       :         : 8         : Y
545
sram_addr[12]                : Y10       : output : 3.3-V LVTTL       :         : 8         : Y
546
VCCIO8                       : Y11       : power  :                   : 3.3V    : 8         :
547
VCCIO7                       : Y12       : power  :                   : 3.3V    : 7         :
548
fl_addr[9]                   : Y13       : output : 3.3-V LVTTL       :         : 7         : Y
549
fl_we_n                      : Y14       : output : 3.3-V LVTTL       :         : 7         : Y
550
GND                          : Y15       : gnd    :                   :         :           :
551
fl_addr[2]                   : Y16       : output : 3.3-V LVTTL       :         : 7         : Y
552
RESERVED_INPUT               : Y17       :        :                   :         : 7         :
553
ledr[6]                      : Y18       : output : 3.3-V LVTTL       :         : 6         : Y
554
ledr[3]                      : Y19       : output : 3.3-V LVTTL       :         : 6         : Y
555
RESERVED_INPUT               : Y20       :        :                   :         : 6         :
556
ledg[7]                      : Y21       : output : 3.3-V LVTTL       :         : 6         : Y
557
ledg[6]                      : Y22       : output : 3.3-V LVTTL       :         : 6         : Y

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.