OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [System09_Terasic_DE1/] [system09.qsf] - Blame information for rev 117

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 117 dilbert57
# copyright (c) 1991-2005 altera corporation
2
# your use of altera corporation's design tools, logic functions
3
# and other software and tools, and its ampp partner logic
4
# functions, and any output files any of the foregoing
5
# (including device programming or simulation files), and any
6
# associated documentation or information are expressly subject
7
# to the terms and conditions of the altera program license
8
# subscription agreement, altera megacore function license
9
# agreement, or other applicable license agreement, including,
10
# without limitation, that your use is for the sole purpose of
11
# programming logic devices manufactured by altera and sold by
12
# altera or its authorized distributors.  please refer to the
13
# applicable agreement for further details.
14
 
15
 
16
# the default values for assignments are stored in the file
17
#               system09_assignment_defaults.qdf
18
# if this file doesn't exist, and for assignments not listed, see file
19
#               assignment_defaults.qdf
20
 
21
# altera recommends that you do not modify this file. this
22
# file is updated automatically by the quartus ii software
23
# and any changes you make may be lost or overwritten.
24
 
25
 
26
# project-wide assignments
27
# ========================
28
set_global_assignment -name LAST_QUARTUS_VERSION "9.1 SP1"
29
 
30
# pin & location assignments
31
# ==========================
32
 
33
# analysis & synthesis assignments
34
# ================================
35
set_global_assignment -name FAMILY "cyclone ii"
36
set_global_assignment -name TOP_LEVEL_ENTITY System09_Terasic_DE1
37
 
38
# fitter assignments
39
# ==================
40
set_global_assignment -name DEVICE ep2c20f484c7
41
set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS REGULAR IO"
42
set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
43
set_global_assignment -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI-STATED"
44
 
45
# assembler assignments
46
# =====================
47
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS OUTPUT DRIVING AN UNSPECIFIED SIGNAL"
48
 
49
set_global_assignment -name SEED 1
50
set_instance_assignment -name IO_STANDARD LVTTL -to aud_adcdat
51
set_instance_assignment -name IO_STANDARD LVTTL -to aud_adclrck
52
set_instance_assignment -name IO_STANDARD LVTTL -to aud_bclk
53
set_instance_assignment -name IO_STANDARD LVTTL -to aud_dacdat
54
set_instance_assignment -name IO_STANDARD LVTTL -to aud_daclrck
55
set_instance_assignment -name IO_STANDARD LVTTL -to aud_xck
56
set_instance_assignment -name IO_STANDARD LVTTL -to clock_24[0]
57
set_instance_assignment -name IO_STANDARD LVTTL -to clock_24[1]
58
# set_instance_assignment -name io_standard lvttl -to clock_27[1]
59
set_instance_assignment -name IO_STANDARD LVTTL -to clock_50
60
set_instance_assignment -name IO_STANDARD LVTTL -to ext_clock
61
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[0]
62
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[10]
63
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[11]
64
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[12]
65
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[13]
66
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[14]
67
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[15]
68
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[16]
69
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[17]
70
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[18]
71
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[19]
72
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[1]
73
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[20]
74
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[21]
75
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[22]
76
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[23]
77
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[24]
78
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[25]
79
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[26]
80
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[27]
81
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[28]
82
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[29]
83
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[2]
84
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[30]
85
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[31]
86
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[32]
87
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[33]
88
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[34]
89
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[35]
90
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[3]
91
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[4]
92
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[5]
93
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[6]
94
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[7]
95
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[8]
96
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_0[9]
97
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[0]
98
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[10]
99
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[11]
100
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[12]
101
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[13]
102
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[14]
103
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[15]
104
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[16]
105
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[17]
106
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[18]
107
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[19]
108
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[1]
109
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[20]
110
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[21]
111
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[22]
112
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[23]
113
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[24]
114
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[25]
115
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[26]
116
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[27]
117
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[28]
118
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[29]
119
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[2]
120
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[30]
121
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[31]
122
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[32]
123
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[33]
124
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[34]
125
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[35]
126
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[3]
127
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[4]
128
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[5]
129
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[6]
130
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[7]
131
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[8]
132
set_instance_assignment -name IO_STANDARD LVTTL -to gpio_1[9]
133
set_instance_assignment -name IO_STANDARD LVTTL -to hex0[0]
134
set_instance_assignment -name IO_STANDARD LVTTL -to hex0[1]
135
set_instance_assignment -name IO_STANDARD LVTTL -to hex0[2]
136
set_instance_assignment -name IO_STANDARD LVTTL -to hex0[3]
137
set_instance_assignment -name IO_STANDARD LVTTL -to hex0[4]
138
set_instance_assignment -name IO_STANDARD LVTTL -to hex0[5]
139
set_instance_assignment -name IO_STANDARD LVTTL -to hex0[6]
140
set_instance_assignment -name IO_STANDARD LVTTL -to hex1[0]
141
set_instance_assignment -name IO_STANDARD LVTTL -to hex1[1]
142
set_instance_assignment -name IO_STANDARD LVTTL -to hex1[2]
143
set_instance_assignment -name IO_STANDARD LVTTL -to hex1[3]
144
set_instance_assignment -name IO_STANDARD LVTTL -to hex1[4]
145
set_instance_assignment -name IO_STANDARD LVTTL -to hex1[5]
146
set_instance_assignment -name IO_STANDARD LVTTL -to hex1[6]
147
set_instance_assignment -name IO_STANDARD LVTTL -to hex2[0]
148
set_instance_assignment -name IO_STANDARD LVTTL -to hex2[1]
149
set_instance_assignment -name IO_STANDARD LVTTL -to hex2[2]
150
set_instance_assignment -name IO_STANDARD LVTTL -to hex2[3]
151
set_instance_assignment -name IO_STANDARD LVTTL -to hex2[4]
152
set_instance_assignment -name IO_STANDARD LVTTL -to hex2[5]
153
set_instance_assignment -name IO_STANDARD LVTTL -to hex2[6]
154
set_instance_assignment -name IO_STANDARD LVTTL -to hex3[0]
155
set_instance_assignment -name IO_STANDARD LVTTL -to hex3[1]
156
set_instance_assignment -name IO_STANDARD LVTTL -to hex3[2]
157
set_instance_assignment -name IO_STANDARD LVTTL -to hex3[3]
158
set_instance_assignment -name IO_STANDARD LVTTL -to hex3[4]
159
set_instance_assignment -name IO_STANDARD LVTTL -to hex3[5]
160
set_instance_assignment -name IO_STANDARD LVTTL -to hex3[6]
161
set_instance_assignment -name IO_STANDARD LVTTL -to i2c_sclk
162
set_instance_assignment -name IO_STANDARD LVTTL -to i2c_sdat
163
set_instance_assignment -name IO_STANDARD LVTTL -to key[0]
164
set_instance_assignment -name IO_STANDARD LVTTL -to key[1]
165
set_instance_assignment -name IO_STANDARD LVTTL -to key[2]
166
set_instance_assignment -name IO_STANDARD LVTTL -to key[3]
167
set_instance_assignment -name IO_STANDARD LVTTL -to ledg[0]
168
set_instance_assignment -name IO_STANDARD LVTTL -to ledg[1]
169
set_instance_assignment -name IO_STANDARD LVTTL -to ledg[2]
170
set_instance_assignment -name IO_STANDARD LVTTL -to ledg[3]
171
set_instance_assignment -name IO_STANDARD LVTTL -to ledg[4]
172
set_instance_assignment -name IO_STANDARD LVTTL -to ledg[5]
173
set_instance_assignment -name IO_STANDARD LVTTL -to ledg[6]
174
set_instance_assignment -name IO_STANDARD LVTTL -to ledg[7]
175
set_instance_assignment -name IO_STANDARD LVTTL -to ledr[0]
176
set_instance_assignment -name IO_STANDARD LVTTL -to ledr[1]
177
set_instance_assignment -name IO_STANDARD LVTTL -to ledr[2]
178
set_instance_assignment -name IO_STANDARD LVTTL -to ledr[3]
179
set_instance_assignment -name IO_STANDARD LVTTL -to ledr[4]
180
set_instance_assignment -name IO_STANDARD LVTTL -to ledr[5]
181
set_instance_assignment -name IO_STANDARD LVTTL -to ledr[6]
182
set_instance_assignment -name IO_STANDARD LVTTL -to ledr[7]
183
set_instance_assignment -name IO_STANDARD LVTTL -to ledr[8]
184
set_instance_assignment -name IO_STANDARD LVTTL -to ledr[9]
185
set_instance_assignment -name IO_STANDARD LVTTL -to ps2_clk
186
set_instance_assignment -name IO_STANDARD LVTTL -to ps2_dat
187
set_instance_assignment -name IO_STANDARD LVTTL -to sw[0]
188
set_instance_assignment -name IO_STANDARD LVTTL -to sw[1]
189
set_instance_assignment -name IO_STANDARD LVTTL -to sw[2]
190
set_instance_assignment -name IO_STANDARD LVTTL -to sw[3]
191
set_instance_assignment -name IO_STANDARD LVTTL -to sw[4]
192
set_instance_assignment -name IO_STANDARD LVTTL -to sw[5]
193
set_instance_assignment -name IO_STANDARD LVTTL -to sw[6]
194
set_instance_assignment -name IO_STANDARD LVTTL -to sw[7]
195
set_instance_assignment -name IO_STANDARD LVTTL -to sw[8]
196
set_instance_assignment -name IO_STANDARD LVTTL -to sw[9]
197
set_instance_assignment -name IO_STANDARD LVTTL -to tck
198
set_instance_assignment -name IO_STANDARD LVTTL -to tcs
199
set_instance_assignment -name IO_STANDARD LVTTL -to tdi
200
set_instance_assignment -name IO_STANDARD LVTTL -to tdo
201
set_instance_assignment -name IO_STANDARD LVTTL -to uart_rxd
202
set_instance_assignment -name IO_STANDARD LVTTL -to uart_txd
203
set_instance_assignment -name IO_STANDARD LVTTL -to vga_b[0]
204
set_instance_assignment -name IO_STANDARD LVTTL -to vga_b[1]
205
set_instance_assignment -name IO_STANDARD LVTTL -to vga_b[2]
206
set_instance_assignment -name IO_STANDARD LVTTL -to vga_b[3]
207
set_instance_assignment -name IO_STANDARD LVTTL -to vga_g[0]
208
set_instance_assignment -name IO_STANDARD LVTTL -to vga_g[1]
209
set_instance_assignment -name IO_STANDARD LVTTL -to vga_g[2]
210
set_instance_assignment -name IO_STANDARD LVTTL -to vga_g[3]
211
set_instance_assignment -name IO_STANDARD LVTTL -to vga_hs
212
set_instance_assignment -name IO_STANDARD LVTTL -to vga_r[0]
213
set_instance_assignment -name IO_STANDARD LVTTL -to vga_r[1]
214
set_instance_assignment -name IO_STANDARD LVTTL -to vga_r[2]
215
set_instance_assignment -name IO_STANDARD LVTTL -to vga_r[3]
216
set_instance_assignment -name IO_STANDARD LVTTL -to vga_vs
217
set_location_assignment PIN_B6 -to aud_adcdat
218
set_location_assignment PIN_A6 -to aud_adclrck
219
set_location_assignment PIN_A4 -to aud_bclk
220
set_location_assignment PIN_B5 -to aud_dacdat
221
set_location_assignment PIN_A5 -to aud_daclrck
222
set_location_assignment PIN_B4 -to aud_xck
223
set_location_assignment PIN_B12 -to clock_24[0]
224
set_location_assignment PIN_A12 -to clock_24[1]
225
set_location_assignment PIN_D12 -to clock_27
226
# set_location_assignment pin_e12 -to clock_27[1]
227
set_location_assignment PIN_L1 -to clock_50
228
set_location_assignment PIN_W4 -to dram_addr[0]
229
set_location_assignment PIN_W3 -to dram_addr[10]
230
set_location_assignment PIN_N6 -to dram_addr[11]
231
set_location_assignment PIN_W5 -to dram_addr[1]
232
set_location_assignment PIN_Y3 -to dram_addr[2]
233
set_location_assignment PIN_Y4 -to dram_addr[3]
234
set_location_assignment PIN_R6 -to dram_addr[4]
235
set_location_assignment PIN_R5 -to dram_addr[5]
236
set_location_assignment PIN_P6 -to dram_addr[6]
237
set_location_assignment PIN_P5 -to dram_addr[7]
238
set_location_assignment PIN_P3 -to dram_addr[8]
239
set_location_assignment PIN_N4 -to dram_addr[9]
240
set_location_assignment PIN_U3 -to dram_ba_0
241
set_location_assignment PIN_V4 -to dram_ba_1
242
set_location_assignment PIN_T3 -to dram_cas_n
243
set_location_assignment PIN_N3 -to dram_cke
244
set_location_assignment PIN_U4 -to dram_clk
245
set_location_assignment PIN_T6 -to dram_cs_n
246
set_location_assignment PIN_U1 -to dram_dq[0]
247
set_location_assignment PIN_P1 -to dram_dq[10]
248
set_location_assignment PIN_P2 -to dram_dq[11]
249
set_location_assignment PIN_R1 -to dram_dq[12]
250
set_location_assignment PIN_R2 -to dram_dq[13]
251
set_location_assignment PIN_T1 -to dram_dq[14]
252
set_location_assignment PIN_T2 -to dram_dq[15]
253
set_location_assignment PIN_U2 -to dram_dq[1]
254
set_location_assignment PIN_V1 -to dram_dq[2]
255
set_location_assignment PIN_V2 -to dram_dq[3]
256
set_location_assignment PIN_W1 -to dram_dq[4]
257
set_location_assignment PIN_W2 -to dram_dq[5]
258
set_location_assignment PIN_Y1 -to dram_dq[6]
259
set_location_assignment PIN_Y2 -to dram_dq[7]
260
set_location_assignment PIN_N1 -to dram_dq[8]
261
set_location_assignment PIN_N2 -to dram_dq[9]
262
set_location_assignment PIN_R7 -to dram_ldqm
263
set_location_assignment PIN_T5 -to dram_ras_n
264
set_location_assignment PIN_M5 -to dram_udqm
265
set_location_assignment PIN_R8 -to dram_we_n
266
set_location_assignment PIN_M21 -to ext_clock
267
set_location_assignment PIN_AB20 -to fl_addr[0]
268
set_location_assignment PIN_R12 -to fl_addr[10]
269
set_location_assignment PIN_T12 -to fl_addr[11]
270
set_location_assignment PIN_AB14 -to fl_addr[12]
271
set_location_assignment PIN_AA13 -to fl_addr[13]
272
set_location_assignment PIN_AB13 -to fl_addr[14]
273
set_location_assignment PIN_AA12 -to fl_addr[15]
274
set_location_assignment PIN_AB12 -to fl_addr[16]
275
set_location_assignment PIN_AA20 -to fl_addr[17]
276
set_location_assignment PIN_U14 -to fl_addr[18]
277
set_location_assignment PIN_V14 -to fl_addr[19]
278
set_location_assignment PIN_AA14 -to fl_addr[1]
279
set_location_assignment PIN_U13 -to fl_addr[20]
280
set_location_assignment PIN_R13 -to fl_addr[21]
281
set_location_assignment PIN_Y16 -to fl_addr[2]
282
set_location_assignment PIN_R15 -to fl_addr[3]
283
set_location_assignment PIN_T15 -to fl_addr[4]
284
set_location_assignment PIN_U15 -to fl_addr[5]
285
set_location_assignment PIN_V15 -to fl_addr[6]
286
set_location_assignment PIN_W15 -to fl_addr[7]
287
set_location_assignment PIN_R14 -to fl_addr[8]
288
set_location_assignment PIN_Y13 -to fl_addr[9]
289
set_location_assignment PIN_AB16 -to fl_dq[0]
290
set_location_assignment PIN_AA16 -to fl_dq[1]
291
set_location_assignment PIN_AB17 -to fl_dq[2]
292
set_location_assignment PIN_AA17 -to fl_dq[3]
293
set_location_assignment PIN_AB18 -to fl_dq[4]
294
set_location_assignment PIN_AA18 -to fl_dq[5]
295
set_location_assignment PIN_AB19 -to fl_dq[6]
296
set_location_assignment PIN_AA19 -to fl_dq[7]
297
set_location_assignment PIN_AA15 -to fl_oe_n
298
set_location_assignment PIN_W14 -to fl_rst_n
299
set_location_assignment PIN_Y14 -to fl_we_n
300
set_location_assignment PIN_A13 -to gpio_0[0]
301
set_location_assignment PIN_A18 -to gpio_0[10]
302
set_location_assignment PIN_B18 -to gpio_0[11]
303
set_location_assignment PIN_A19 -to gpio_0[12]
304
set_location_assignment PIN_B19 -to gpio_0[13]
305
set_location_assignment PIN_A20 -to gpio_0[14]
306
set_location_assignment PIN_B20 -to gpio_0[15]
307
set_location_assignment PIN_C21 -to gpio_0[16]
308
set_location_assignment PIN_C22 -to gpio_0[17]
309
set_location_assignment PIN_D21 -to gpio_0[18]
310
set_location_assignment PIN_D22 -to gpio_0[19]
311
set_location_assignment PIN_B13 -to gpio_0[1]
312
set_location_assignment PIN_E21 -to gpio_0[20]
313
set_location_assignment PIN_E22 -to gpio_0[21]
314
set_location_assignment PIN_F21 -to gpio_0[22]
315
set_location_assignment PIN_F22 -to gpio_0[23]
316
set_location_assignment PIN_G21 -to gpio_0[24]
317
set_location_assignment PIN_G22 -to gpio_0[25]
318
set_location_assignment PIN_J21 -to gpio_0[26]
319
set_location_assignment PIN_J22 -to gpio_0[27]
320
set_location_assignment PIN_K21 -to gpio_0[28]
321
set_location_assignment PIN_K22 -to gpio_0[29]
322
set_location_assignment PIN_A14 -to gpio_0[2]
323
set_location_assignment PIN_J19 -to gpio_0[30]
324
set_location_assignment PIN_J20 -to gpio_0[31]
325
set_location_assignment PIN_J18 -to gpio_0[32]
326
set_location_assignment PIN_K20 -to gpio_0[33]
327
set_location_assignment PIN_L19 -to gpio_0[34]
328
set_location_assignment PIN_L18 -to gpio_0[35]
329
set_location_assignment PIN_B14 -to gpio_0[3]
330
set_location_assignment PIN_A15 -to gpio_0[4]
331
set_location_assignment PIN_B15 -to gpio_0[5]
332
set_location_assignment PIN_A16 -to gpio_0[6]
333
set_location_assignment PIN_B16 -to gpio_0[7]
334
set_location_assignment PIN_A17 -to gpio_0[8]
335
set_location_assignment PIN_B17 -to gpio_0[9]
336
set_location_assignment PIN_H12 -to gpio_1[0]
337
set_location_assignment PIN_C14 -to gpio_1[10]
338
set_location_assignment PIN_D14 -to gpio_1[11]
339
set_location_assignment PIN_D15 -to gpio_1[12]
340
set_location_assignment PIN_D16 -to gpio_1[13]
341
set_location_assignment PIN_C17 -to gpio_1[14]
342
set_location_assignment PIN_C18 -to gpio_1[15]
343
set_location_assignment PIN_C19 -to gpio_1[16]
344
set_location_assignment PIN_C20 -to gpio_1[17]
345
set_location_assignment PIN_D19 -to gpio_1[18]
346
set_location_assignment PIN_D20 -to gpio_1[19]
347
set_location_assignment PIN_H13 -to gpio_1[1]
348
set_location_assignment PIN_E20 -to gpio_1[20]
349
set_location_assignment PIN_F20 -to gpio_1[21]
350
set_location_assignment PIN_E19 -to gpio_1[22]
351
set_location_assignment PIN_E18 -to gpio_1[23]
352
set_location_assignment PIN_G20 -to gpio_1[24]
353
set_location_assignment PIN_G18 -to gpio_1[25]
354
set_location_assignment PIN_G17 -to gpio_1[26]
355
set_location_assignment PIN_H17 -to gpio_1[27]
356
set_location_assignment PIN_J15 -to gpio_1[28]
357
set_location_assignment PIN_H18 -to gpio_1[29]
358
set_location_assignment PIN_H14 -to gpio_1[2]
359
set_location_assignment PIN_N22 -to gpio_1[30]
360
set_location_assignment PIN_N21 -to gpio_1[31]
361
set_location_assignment PIN_P15 -to gpio_1[32]
362
set_location_assignment PIN_N15 -to gpio_1[33]
363
set_location_assignment PIN_P17 -to gpio_1[34]
364
set_location_assignment PIN_P18 -to gpio_1[35]
365
set_location_assignment PIN_G15 -to gpio_1[3]
366
set_location_assignment PIN_E14 -to gpio_1[4]
367
set_location_assignment PIN_E15 -to gpio_1[5]
368
set_location_assignment PIN_F15 -to gpio_1[6]
369
set_location_assignment PIN_G16 -to gpio_1[7]
370
set_location_assignment PIN_F12 -to gpio_1[8]
371
set_location_assignment PIN_F13 -to gpio_1[9]
372
set_location_assignment PIN_J2 -to hex0[0]
373
set_location_assignment PIN_J1 -to hex0[1]
374
set_location_assignment PIN_H2 -to hex0[2]
375
set_location_assignment PIN_H1 -to hex0[3]
376
set_location_assignment PIN_F2 -to hex0[4]
377
set_location_assignment PIN_F1 -to hex0[5]
378
set_location_assignment PIN_E2 -to hex0[6]
379
set_location_assignment PIN_E1 -to hex1[0]
380
set_location_assignment PIN_H6 -to hex1[1]
381
set_location_assignment PIN_H5 -to hex1[2]
382
set_location_assignment PIN_H4 -to hex1[3]
383
set_location_assignment PIN_G3 -to hex1[4]
384
set_location_assignment PIN_D2 -to hex1[5]
385
set_location_assignment PIN_D1 -to hex1[6]
386
set_location_assignment PIN_G5 -to hex2[0]
387
set_location_assignment PIN_G6 -to hex2[1]
388
set_location_assignment PIN_C2 -to hex2[2]
389
set_location_assignment PIN_C1 -to hex2[3]
390
set_location_assignment PIN_E3 -to hex2[4]
391
set_location_assignment PIN_E4 -to hex2[5]
392
set_location_assignment PIN_D3 -to hex2[6]
393
set_location_assignment PIN_F4 -to hex3[0]
394
set_location_assignment PIN_D5 -to hex3[1]
395
set_location_assignment PIN_D6 -to hex3[2]
396
set_location_assignment PIN_J4 -to hex3[3]
397
set_location_assignment PIN_L8 -to hex3[4]
398
set_location_assignment PIN_F3 -to hex3[5]
399
set_location_assignment PIN_D4 -to hex3[6]
400
set_location_assignment PIN_A3 -to i2c_sclk
401
set_location_assignment PIN_B3 -to i2c_sdat
402
set_location_assignment PIN_R22 -to key[0]
403
set_location_assignment PIN_R21 -to key[1]
404
set_location_assignment PIN_T22 -to key[2]
405
set_location_assignment PIN_T21 -to key[3]
406
set_location_assignment PIN_U22 -to ledg[0]
407
set_location_assignment PIN_U21 -to ledg[1]
408
set_location_assignment PIN_V22 -to ledg[2]
409
set_location_assignment PIN_V21 -to ledg[3]
410
set_location_assignment PIN_W22 -to ledg[4]
411
set_location_assignment PIN_W21 -to ledg[5]
412
set_location_assignment PIN_Y22 -to ledg[6]
413
set_location_assignment PIN_Y21 -to ledg[7]
414
set_location_assignment PIN_R20 -to ledr[0]
415
set_location_assignment PIN_R19 -to ledr[1]
416
set_location_assignment PIN_U19 -to ledr[2]
417
set_location_assignment PIN_Y19 -to ledr[3]
418
set_location_assignment PIN_T18 -to ledr[4]
419
set_location_assignment PIN_V19 -to ledr[5]
420
set_location_assignment PIN_Y18 -to ledr[6]
421
set_location_assignment PIN_U18 -to ledr[7]
422
set_location_assignment PIN_R18 -to ledr[8]
423
set_location_assignment PIN_R17 -to ledr[9]
424
set_location_assignment PIN_H15 -to ps2_clk
425
set_location_assignment PIN_J14 -to ps2_dat
426
set_location_assignment PIN_AA3 -to sram_addr[0]
427
set_location_assignment PIN_R11 -to sram_addr[10]
428
set_location_assignment PIN_T11 -to sram_addr[11]
429
set_location_assignment PIN_Y10 -to sram_addr[12]
430
set_location_assignment PIN_U10 -to sram_addr[13]
431
set_location_assignment PIN_R10 -to sram_addr[14]
432
set_location_assignment PIN_T7 -to sram_addr[15]
433
set_location_assignment PIN_Y6 -to sram_addr[16]
434
set_location_assignment PIN_Y5 -to sram_addr[17]
435
set_location_assignment PIN_AB3 -to sram_addr[1]
436
set_location_assignment PIN_AA4 -to sram_addr[2]
437
set_location_assignment PIN_AB4 -to sram_addr[3]
438
set_location_assignment PIN_AA5 -to sram_addr[4]
439
set_location_assignment PIN_AB10 -to sram_addr[5]
440
set_location_assignment PIN_AA11 -to sram_addr[6]
441
set_location_assignment PIN_AB11 -to sram_addr[7]
442
set_location_assignment PIN_V11 -to sram_addr[8]
443
set_location_assignment PIN_W11 -to sram_addr[9]
444
set_location_assignment PIN_AB5 -to sram_ce_n
445
set_location_assignment PIN_AA6 -to sram_dq[0]
446
set_location_assignment PIN_V9 -to sram_dq[10]
447
set_location_assignment PIN_U9 -to sram_dq[11]
448
set_location_assignment PIN_R9 -to sram_dq[12]
449
set_location_assignment PIN_W8 -to sram_dq[13]
450
set_location_assignment PIN_V8 -to sram_dq[14]
451
set_location_assignment PIN_U8 -to sram_dq[15]
452
set_location_assignment PIN_AB6 -to sram_dq[1]
453
set_location_assignment PIN_AA7 -to sram_dq[2]
454
set_location_assignment PIN_AB7 -to sram_dq[3]
455
set_location_assignment PIN_AA8 -to sram_dq[4]
456
set_location_assignment PIN_AB8 -to sram_dq[5]
457
set_location_assignment PIN_AA9 -to sram_dq[6]
458
set_location_assignment PIN_AB9 -to sram_dq[7]
459
set_location_assignment PIN_Y9 -to sram_dq[8]
460
set_location_assignment PIN_W9 -to sram_dq[9]
461
set_location_assignment PIN_Y7 -to sram_lb_n
462
set_location_assignment PIN_T8 -to sram_oe_n
463
set_location_assignment PIN_W7 -to sram_ub_n
464
set_location_assignment PIN_AA10 -to sram_we_n
465
set_location_assignment PIN_L22 -to sw[0]
466
set_location_assignment PIN_L21 -to sw[1]
467
set_location_assignment PIN_M22 -to sw[2]
468
set_location_assignment PIN_V12 -to sw[3]
469
set_location_assignment PIN_W12 -to sw[4]
470
set_location_assignment PIN_U12 -to sw[5]
471
set_location_assignment PIN_U11 -to sw[6]
472
set_location_assignment PIN_M2 -to sw[7]
473
set_location_assignment PIN_M1 -to sw[8]
474
set_location_assignment PIN_L2 -to sw[9]
475
set_location_assignment PIN_C7 -to tck
476
set_location_assignment PIN_D8 -to tcs
477
set_location_assignment PIN_E8 -to tdi
478
set_location_assignment PIN_D7 -to tdo
479
set_location_assignment PIN_F14 -to uart_rxd
480
set_location_assignment PIN_G12 -to uart_txd
481
set_location_assignment PIN_A9 -to vga_b[0]
482
set_location_assignment PIN_D11 -to vga_b[1]
483
set_location_assignment PIN_A10 -to vga_b[2]
484
set_location_assignment PIN_B10 -to vga_b[3]
485
set_location_assignment PIN_B8 -to vga_g[0]
486
set_location_assignment PIN_C10 -to vga_g[1]
487
set_location_assignment PIN_B9 -to vga_g[2]
488
set_location_assignment PIN_A8 -to vga_g[3]
489
set_location_assignment PIN_A11 -to vga_hs
490
set_location_assignment PIN_D9 -to vga_r[0]
491
set_location_assignment PIN_C9 -to vga_r[1]
492
set_location_assignment PIN_A7 -to vga_r[2]
493
set_location_assignment PIN_B7 -to vga_r[3]
494
set_location_assignment PIN_B11 -to vga_vs
495
 
496
set_global_assignment -name MISC_FILE "C:/VHDL/System09_sandbox_JK/rtl/System09_Terasic_DE1/system09.dpf"
497
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
498
set_global_assignment -name PARTITION_COLOR 2147039 -section_id Top
499
set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
500
set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
501
set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
502
set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION ALWAYS
503
set_global_assignment -name OPTIMIZE_TIMING OFF
504
set_global_assignment -name AUTO_RAM_RECOGNITION ON
505
set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION ALWAYS
506
set_global_assignment -name OPTIMIZATION_TECHNIQUE AREA
507
set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE AREA
508
set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE AREA
509
set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE AREA
510
set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE AREA
511
set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE AREA
512
set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE AREA
513
set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP ON
514
set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS ON
515
set_global_assignment -name MUX_RESTRUCTURE ON
516
set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION ON
517
set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION ON
518
set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION ON
519
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ON
520
set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA ON
521
set_global_assignment -name DO_MIN_ANALYSIS ON
522
set_global_assignment -name FMAX_REQUIREMENT "50 MHz"
523
set_global_assignment -name MIF_FILE ../Cyclone2/keymap_rom.mif
524
set_global_assignment -name HEX_FILE "../Cyclone2/sys09bug_de2-70.hex"
525
set_global_assignment -name MIF_FILE ../Cyclone2/char_rom.mif
526
set_global_assignment -name VHDL_FILE ../VHDL/trap.vhd
527
set_global_assignment -name VHDL_FILE System09_Terasic_DE1.vhd
528
set_global_assignment -name VHDL_FILE ../VHDL/ps2_keyboard.vhd
529
set_global_assignment -name VHDL_FILE ../VHDL/acia6850.vhd
530
set_global_assignment -name VHDL_FILE ../VHDL/timer.vhd
531
set_global_assignment -name VHDL_FILE ../VHDL/vdu8.vhd
532
set_global_assignment -name VHDL_FILE ../VHDL/keyboard.vhd
533
set_global_assignment -name VHDL_FILE ../VHDL/datram.vhd
534
set_global_assignment -name VHDL_FILE ../VHDL/cpu09.vhd
535
set_global_assignment -name VHDL_FILE ../VHDL/bit_funcs.vhd
536
set_global_assignment -name VHDL_FILE ../VHDL/ACIA_Clock.vhd
537
set_global_assignment -name VHDL_FILE ../Cyclone2/sprom.vhd
538
set_global_assignment -name VHDL_FILE ../Cyclone2/spram.vhd
539
set_global_assignment -name VHDL_FILE ../Cyclone2/mon_rom.vhd
540
set_global_assignment -name VHDL_FILE ../Cyclone2/char_rom.vhd
541
set_global_assignment -name VHDL_FILE ../Cyclone2/keymap_rom.vhd
542
set_global_assignment -name VHDL_FILE ../Cyclone2/ram_2k.vhd
543
set_global_assignment -name QIP_FILE ../Cyclone2/pll.qip
544
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.