OpenCores
URL https://opencores.org/ocsvn/System09/System09/trunk

Subversion Repositories System09

[/] [System09/] [trunk/] [rtl/] [VHDL/] [vdu8_hdmi.vhd] - Blame information for rev 211

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 211 davidgb
--===========================================================================--
2
--                                                                           --
3
--  vdu8.vhd - Synthesizable Colour Video Display Unit for System09          --
4
--                                                                           --
5
--===========================================================================--
6
--
7
--  File name      : vdu8.vhd
8
--
9
--  Purpose        : Implements a text based Colour Video Display Unit for System09
10
--                   Supports 2KByte Text buffer and 2KByte Attribute memory
11
--                   Displays 80 characters across by 25 character rows
12
--                   Characters are 8 pixels across x 16 lines down.
13
--                   Character attribute bita for foreground and backgrond colour
14
--                   1 bit for each Blue Green and Red signal
15
--                   Supports 2 x 8 chunky graphics character mode.
16
--                   Uses Generic arguments for setting the video synchronization timing.
17
--                  
18
--  Dependencies   : ieee.std_logic_1164
19
--                   ieee.numeric_std
20
--
21
--  Uses           : ram_2k (ram2k_b16.vhd)             2KByte Character & Attribute buffer
22
--                   char_rom (char_rom2k_b16.vhd)      2KByte Character Generator ROM 
23
--
24
--  Author         : John E. Kent
25
--
26
--  Email          : dilbert57@opencores.org      
27
--
28
--  Web            : http://opencores.org/project,system09
29
--
30
--  Description    : Display Timing:
31
--                       800 pixels / line
32
--                       446 lines / frame
33
--                       None interlaced
34
--                       25MHz pixel clock implies 
35
--                       31.25 KHz line rate
36
--                       70.067 Hz frame rate   
37
--                       Timing settable by generics.
38
--
39
--                   Display Size:
40
--                       80 characters across
41
--                       25 characters down.
42
--
43
--                   Character Size:
44
--                        8 horizontal pixels across
45
--                       16 vertical scan lines down (2 scan lines/row)
46
--
47
--                   Registers:
48
--                   Base + 0 ASCII character register
49
--                            Writing to this register writes an 8 bit byte 
50
--                            into the text buffer at the specified cursor position
51
--                            Text Mode: ASCII Character (0 to 127)
52
--                            Chunky Graphics Mode: B0 B1 (0 to 255)
53
--                                                  B2 B3
54
--                                                  B4 B5
55
--                                                  B6 B7
56
--                   Base + 1 Attibute bit (0 to 255)
57
--                            Writing to the register writes an 8 bit byte 
58
--                            into the attribute buffer at the specified cursor position
59
--                            B7 - 0 => Text Mode / 1 => Chunky Graphics Mode
60
--                            B6 - 1 => Character Background Blue
61
--                            B5 - 1 => Character Background Green
62
--                            B4 - 1 => Character Background Red
63
--                            B3 - 1 => Character Background & Foreground Alternates
64
--                            B2 - 1 => Character Foreground Blue
65
--                            B1 - 1 => Character Foreground Green
66
--                            B0 - 1 => Character Foreground Red
67
--                   Base + 2 Cursor Horizontal Position (0 to 79)
68
--                   Base + 3 Cusror Vertical Position (0 to 24)
69
--                   Base + 4 Vertical Scroll Offset (0 to 24)
70
--                            Scrolls the display up by the specified number of character rows
71
--
72
--  Video Timing :
73
--
74
--  Horizontal 800 Pixels/ 25MHz Pixel Clock = 32usec Line period = 31.25 KHz Line Frequency
75
--  /--------------------------\_____________/---------------\______________/
76
--      640 Pixels Display       16 Pixel FP    96 Pixel HS     48 Pixel BP
77
--    
78
--      VGA_CLK_FREQ           : integer := 25000000; -- HZ
79
--           VGA_HOR_FRONT_PORCH    : integer := 16; -- PIXELS 0.64us (0.94us)
80
--           VGA_HOR_SYNC           : integer := 96; -- PIXELS 3.84us (3.77us)
81
--           VGA_HOR_BACK_PORCH     : integer := 48; -- PIXELS 1.92us (1.89us)
82
--           VGA_PIX_PER_CHAR       : integer := 8;  -- PIXELS 0.32us
83
--           VGA_HOR_CHARS          : integer := 80; -- CHARACTERS 25.6us
84
--
85
--  Vertical 446 Lines * 32 usec Line rate = 14.272ms Frame Period = 70.07Hz Frame frequency  
86
--  /---------------------------\____________/---------------\______________/
87
--      400 Line Display          10 Line FP     2 Line VS      34 Line BP
88
--
89
--           VGA_VER_FRONT_PORCH    : integer := 10; -- LINES 0.320ms
90
--           VGA_VER_SYNC           : integer := 2;  -- LINES 0.064ms
91
--           VGA_VER_BACK_PORCH     : integer := 34; -- LINES 1.088ms
92
--           VGA_LIN_PER_CHAR       : integer := 16; -- LINES 0.512ms
93
--           VGA_VER_CHARS          : integer := 25; -- CHARACTERS 12.8ms
94
--
95
--  Copyright (C) 2003 - 2010 John Kent
96
--
97
--  This program is free software: you can redistribute it and/or modify
98
--  it under the terms of the GNU General Public License as published by
99
--  the Free Software Foundation, either version 3 of the License, or
100
--  (at your option) any later version.
101
--
102
--  This program is distributed in the hope that it will be useful,
103
--  but WITHOUT ANY WARRANTY; without even the implied warranty of
104
--  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
105
--  GNU General Public License for more details.
106
--
107
--  You should have received a copy of the GNU General Public License
108
--  along with this program.  If not, see <http://www.gnu.org/licenses/>.
109
--
110
--===========================================================================--
111
--                                                                           --
112
--                              Revision  History                            --
113
--                                                                           --
114
--===========================================================================--
115
--
116
-- Version Author      Date        Changes
117
--
118
-- 0.1     John Kent   2004-09-03  Initial release
119
--
120
-- 0.2     Bert Cuzeau 2007-01-16  Modified by for compliance and code cleanliness
121
--                                 The effort is not over.
122
--                                 There are still signal initialized, which is BAD.
123
--
124
-- 0.3     John Kent   2007-02-07  Added generics for VGA Timing
125
--
126
-- 0.4     John Kent   2010-07-03  Added GPL notice. 
127
--                                 Updated description.
128
--                                 Rearranged Video Timing
129
-- 
130
-- 0.5     David Burnette 2021-04-05  Removed VGA signalling and added HDMI support (640x480 only)
131
--                                    Still needs work to refactor the clock signals - ideally this would
132
--                                    be a generic VDU that had vendor-specific modules (i.e. clock generators
133
--                                    and serializers) in a separate file.
134
--                                    HDMI support is via code fragments from fpga4fun.com and
135
--                                    can be found in Verilog/rgb2hdmi_encoder.v.
136
 
137
Library IEEE;
138
  use IEEE.std_logic_1164.all;
139
  use IEEE.numeric_std.all;
140
--Library unisim;
141
--  use unisim.vcomponents.all;
142
 
143
Entity vdu8_hdmi is
144
  generic(
145
      VDU_CLK_FREQ           : integer := 25000000; -- HZ
146
        VGA_CLK_FREQ           : integer := 25000000; -- HZ
147
             VGA_HOR_CHARS          : integer := 80; -- CHARACTERS 25.6us
148
             VGA_HOR_CHAR_PIXELS    : integer := 8;  -- PIXELS 0.32us
149
             VGA_HOR_FRONT_PORCH    : integer := 16; -- PIXELS 0.64us
150
             VGA_HOR_SYNC           : integer := 96; -- PIXELS 3.84us
151
             VGA_HOR_BACK_PORCH     : integer := 48; -- PIXELS 1.92us
152
             VGA_VER_CHARS          : integer := 25; -- CHARACTERS 12.8ms
153
             VGA_VER_CHAR_LINES     : integer := 16; -- LINES 0.512ms
154
             VGA_VER_FRONT_PORCH    : integer := 10; -- LINES 0.320ms
155
             VGA_VER_SYNC           : integer := 2;  -- LINES 0.064ms
156
             VGA_VER_BACK_PORCH     : integer := 34  -- LINES 1.088ms
157
  );
158
  port(
159
    -- control register interface
160
    vdu_clk      : in  std_logic;       -- 12.5/25 MHz CPU Clock
161
    vdu_rst      : in  std_logic;
162
    vdu_cs       : in  std_logic;
163
    vdu_rw       : in  std_logic;
164
    vdu_addr     : in  std_logic_vector(2 downto 0);
165
    vdu_data_in  : in  std_logic_vector(7 downto 0);
166
    vdu_data_out : out std_logic_vector(7 downto 0);
167
    -- HDMI TMDS outputs
168
         hdmi_clk     : in std_logic; -- 25MHz 
169
    TMDSp_clock  : out std_logic;
170
    TMDSn_clock  : out std_logic;
171
    TMDSp        : out std_logic_vector(2 downto 0);
172
    TMDSn        : out std_logic_vector(2 downto 0)
173
    );
174
end vdu8_hdmi;
175
 
176
Architecture RTL of vdu8_hdmi is
177
  --
178
  -- Synchronisation constants
179
  --
180
  -- Displayed Characters per row
181
  constant HOR_DISP_CHR : integer := VGA_HOR_CHARS;
182
  -- Last horizontal pixel displayed
183
  constant HOR_DISP_END : integer := (HOR_DISP_CHR * VGA_HOR_CHAR_PIXELS) - 1;
184
  -- Start of horizontal synch pulse
185
  constant HOR_SYNC_BEG : integer := HOR_DISP_END + VGA_HOR_FRONT_PORCH;
186
  -- End of Horizontal Synch pulse
187
  constant HOR_SYNC_END : integer := HOR_SYNC_BEG + VGA_HOR_SYNC;
188
  -- Last pixel in scan line
189
  constant HOR_SCAN_END : integer := HOR_SYNC_END + VGA_HOR_BACK_PORCH;
190
 
191
  -- Displayed Characters per Column
192
  constant VER_DISP_CHR : integer := VGA_VER_CHARS;
193
  -- last row displayed
194
  constant VER_DISP_END : integer := (VER_DISP_CHR * VGA_VER_CHAR_LINES) - 1;
195
  -- start of vertical synch pulse
196
  constant VER_SYNC_BEG : integer := VER_DISP_END + VGA_VER_FRONT_PORCH;
197
  -- end of vertical synch pulse
198
  constant VER_SYNC_END : integer := VER_SYNC_BEG + VGA_VER_SYNC;
199
  -- Last scan row in the frame
200
  constant VER_SCAN_END : integer := VER_SYNC_END + VGA_VER_BACK_PORCH;
201
 
202
  signal horiz_sync    : std_logic := '1';
203
  signal vert_sync     : std_logic := '1';
204
  signal cursor_on_v   : std_logic;
205
  signal cursor_on_h   : std_logic;
206
  signal video_on_v    : std_logic := '0';
207
  signal video_on_h    : std_logic := '0';
208
  signal h_count       : std_logic_vector(9 downto 0) := (others=>'0');
209
  signal v_count       : std_logic_vector(8 downto 0) := (others=>'0');  -- 0 to VER_SCAN_END
210
  signal blink_count   : std_logic_vector(22 downto 0):= (others=>'1');
211
  --
212
  -- Character generator ROM
213
  --
214
  signal char_addr     : std_logic_vector(10 downto 0);
215
  signal char_data_out : std_logic_vector(7 downto 0);
216
 
217
  --
218
  -- Control Registers
219
  --
220
  signal reg_character : std_logic_vector(7 downto 0);
221
  signal reg_colour    : std_logic_vector(7 downto 0);
222
  signal reg_hcursor   : std_logic_vector(6 downto 0);   -- 80 columns
223
  signal reg_vcursor   : std_logic_vector(4 downto 0);   -- 25 rows
224
  signal reg_voffset   : std_logic_vector(4 downto 0);   -- 25 rows
225
  --
226
  -- Video Shift register
227
  --
228
  signal vga_shift     : std_logic_vector(7 downto 0);
229
  signal vga_fg_colour : std_logic_vector(2 downto 0);
230
  signal vga_bg_colour : std_logic_vector(2 downto 0);
231
  signal cursor_on     : std_logic;
232
  signal cursor_on1    : std_logic;
233
  signal video_on      : std_logic := '0';
234
  signal video_on1     : std_logic := '0';
235
  signal video_on2     : std_logic := '0';
236
 
237
  signal vga_red       : std_logic; -- RGB VGA signal (single bit for now)
238
  signal vga_green     : std_logic;
239
  signal vga_blue      : std_logic;
240
 
241
  signal HDMI_red      : std_logic_vector(7 downto 0); -- HDMI signalling
242
  signal HDMI_green    : std_logic_vector(7 downto 0);
243
  signal HDMI_blue     : std_logic_vector(7 downto 0);
244
 
245
  --
246
  -- vga character ram access bus
247
  --
248
  signal col_addr      : std_logic_vector(6 downto 0) := (others=>'0'); -- 0 to 79
249
  signal row_addr      : unsigned(5 downto 0)         := (others=>'0'); -- 0 to 49 (25 * 2 -1)
250
  signal col1_addr     : std_logic_vector(6 downto 0) := (others=>'0'); -- 0 to 79
251
  signal row1_addr     : unsigned(5 downto 0)         := (others=>'0'); -- 0 to 49 (25 * 2 - 1)
252
  signal hor_addr      : std_logic_vector(6 downto 0) := (others=>'0'); -- 0 to 79
253
  signal ver_addr      : std_logic_vector(6 downto 0) := (others=>'0'); -- 0 to 124
254
  signal vga0_cs       : std_logic;
255
  signal vga0_rw       : std_logic;
256
  signal vga1_cs       : std_logic;
257
  signal vga1_rw       : std_logic;
258
  signal vga2_cs       : std_logic;
259
  signal vga2_rw       : std_logic;
260
  signal vga_cs        : std_logic;
261
  signal vga_rw        : std_logic;
262
  signal vga_addr      : std_logic_vector(10 downto 0) := (others=>'0');  -- 2K byte character buffer
263
  signal vga_data_out  : std_logic_vector(7 downto 0);
264
  signal attr_data_out : std_logic_vector(7 downto 0);
265
  --
266
  -- Character write handshake signals
267
  --
268
  signal req_write     : std_logic;     -- request character write
269
  signal ack_write     : std_logic;
270
 
271
  --
272
  -- Block Ram Character gen
273
  --
274
  component char_rom
275
    port (
276
      clk      : in  std_logic;
277
      rst      : in  std_logic;
278
      cs       : in  std_logic;
279
      rw       : in  std_logic;
280
      addr     : in  std_logic_vector (10 downto 0);
281
      data_in  : in std_logic_vector (7 downto 0);
282
      data_out : out std_logic_vector (7 downto 0)
283
      );
284
  end component;
285
 
286
  component ram_2k
287
    port (
288
      clk      : in  std_logic;
289
      rst      : in  std_logic;
290
      cs       : in  std_logic;
291
      rw       : in  std_logic;
292
      addr     : in  std_logic_vector (10 downto 0);
293
      data_in  : in  std_logic_vector (7 downto 0);
294
      data_out : out std_logic_vector (7 downto 0)
295
      );
296
  end component;
297
 
298
component RGB2HDMI_encoder
299
  port (
300
    pixclk      : in std_logic; -- 25 MHz
301
         vSync       : in std_logic;
302
         hSync       : in std_logic;
303
         DrawArea    : in std_logic;
304
         red         : in std_logic_vector(7 downto 0);
305
         green       : in std_logic_vector(7 downto 0);
306
         blue        : in std_logic_vector(7 downto 0);
307
         TMDSp       : out std_logic_vector(2 downto 0);
308
         TMDSn       : out std_logic_vector(2 downto 0);
309
         TMDSp_clock : out std_logic;
310
         TMDSn_clock : out std_logic
311
  );
312
  end component;
313
 
314
begin
315
 
316
--
317
-- instantiate Character generator ROM
318
--
319
vdu_char_rom : char_rom port map(
320
        clk      => hdmi_clk,
321
        rst      => vdu_rst,
322
        cs       => '1',
323
        rw       => '1',
324
        addr     => char_addr,
325
        data_in  => "00000000",
326
        data_out => char_data_out
327
    );
328
 
329
--
330
-- Character buffer RAM
331
--
332
char_buff_ram : ram_2k port map(
333
    clk      => hdmi_clk,
334
    rst      => vdu_rst,
335
    cs       => vga_cs,
336
    rw       => vga_rw,
337
    addr     => vga_addr,
338
    data_in  => reg_character,
339
    data_out => vga_data_out
340
    );
341
 
342
--
343
-- Attribute buffer RAM
344
--
345
attr_buff_ram : ram_2k port map(
346
    clk      => hdmi_clk,
347
    rst      => vdu_rst,
348
    cs       => vga_cs,
349
    rw       => vga_rw,
350
    addr     => vga_addr,
351
    data_in  => reg_colour,
352
    data_out => attr_data_out
353
    );
354
 
355
--
356
-- CPU Write interface
357
--
358
  vga_cpu_write : process(vdu_clk, vdu_rst)
359
  begin
360
    if vdu_rst = '1' then
361
      reg_character <= "00000000";
362
      reg_colour    <= "00000111";
363
      reg_hcursor   <= "0000000";
364
      reg_vcursor   <= "00000";
365
      reg_voffset   <= "00000";
366
      req_write     <= '0';
367
 
368
    elsif vdu_clk'event and vdu_clk = '0' then
369
      if (vdu_cs = '1') and (vdu_rw = '0') then
370
        case vdu_addr is
371
          when "000" =>
372
            reg_character <= vdu_data_in;
373
            req_write     <= '1';
374
          when "001" =>
375
            reg_colour    <= vdu_data_in;
376
          when "010" =>
377
            reg_hcursor   <= vdu_data_in(6 downto 0);
378
          when "011" =>
379
            reg_vcursor   <= vdu_data_in(4 downto 0);
380
          when others =>
381
            reg_voffset   <= vdu_data_in(4 downto 0);
382
        end case;
383
      else
384
 
385
        if (req_write = '1') and (ack_write = '1') then
386
          req_write <= '0';
387
        else
388
          req_write <= req_write;
389
        end if;
390
 
391
      end if;
392
    end if;
393
  end process;
394
--
395
-- CPU Read interface
396
--
397
  vga_cpu_read : process(vdu_addr, vdu_cs,
398
                          reg_character, reg_colour,
399
                          reg_hcursor, reg_vcursor, reg_voffset)
400
  begin
401
    case vdu_addr is
402
      when "000" =>
403
        vdu_data_out <= reg_character;
404
      when "001" =>
405
        vdu_data_out <= reg_colour;
406
      when "010" =>
407
        vdu_data_out <= "0" & reg_hcursor;
408
      when "011" =>
409
        vdu_data_out <= "000" & reg_vcursor;
410
      when others =>
411
        vdu_data_out <= "000" & reg_voffset;
412
    end case;
413
  end process;
414
 
415
--
416
-- Video memory access
417
--
418
  vga_addr_proc : process(hdmi_clk, vdu_rst)
419
  begin
420
 
421
    if vdu_rst = '1' then
422
      vga0_cs   <= '0';
423
      vga0_rw   <= '1';
424
      row_addr  <= "000000";
425
      col_addr  <= "0000000";
426
      --
427
      vga1_cs   <= '0';
428
      vga1_rw   <= '1';
429
      row1_addr <= "000000";
430
      col1_addr <= "0000000";
431
      --
432
      vga2_cs   <= '0';
433
      vga2_rw   <= '1';
434
      ver_addr  <= "0000000";
435
      hor_addr  <= "0000000";
436
      --
437
      vga_cs    <= '0';
438
      vga_rw    <= '1';
439
      vga_addr  <= "00000000000";
440
 
441
    elsif hdmi_clk'event and hdmi_clk = '0' then
442
      --
443
      -- on h_count = 0 initiate character write.
444
      -- all other cycles are reads.
445
      --
446
      case h_count(2 downto 0) is
447
        when "000" =>                   -- pipeline character write
448
          vga0_cs  <= req_write;
449
          vga0_rw  <= '0';
450
          col_addr <= reg_hcursor(6 downto 0);
451
          row_addr <= unsigned("0" & reg_vcursor(4 downto 0)) + unsigned("0" & reg_voffset(4 downto 0));
452
        when others =>                  -- other 6 cycles free
453
          vga0_cs  <= '1';
454
          vga0_rw  <= '1';
455
          col_addr <= h_count(9 downto 3);
456
          row_addr <= unsigned("0" & v_count(8 downto 4)) + unsigned("0" & reg_voffset(4 downto 0));
457
      end case;
458
      --
459
      -- on hdmi_clk + 1 round off row address
460
      --
461
      vga1_cs <= vga0_cs;
462
      vga1_rw <= vga0_rw;
463
      if row_addr < VER_DISP_CHR then
464
        row1_addr <= row_addr;
465
      else
466
        row1_addr <= row_addr - VER_DISP_CHR;
467
      end if;
468
      col1_addr <= col_addr;
469
      --
470
      -- on hdmi_clk + 2 calculate vertical address
471
      --
472
      vga2_cs   <= vga1_cs;
473
      vga2_rw   <= vga1_rw;
474
      ver_addr  <= std_logic_vector(unsigned("00" & row1_addr(4 downto 0)) + unsigned(row1_addr(4 downto 0) & "00"));
475
      hor_addr  <= col1_addr;
476
      --
477
      -- on hdmi_clk + 3 calculate memory address
478
      --
479
      vga_cs    <= vga2_cs;
480
      vga_rw    <= vga2_rw;
481
      vga_addr  <= std_logic_vector(unsigned("0000" & hor_addr) + unsigned(ver_addr & "0000"));
482
    end if;
483
  end process;
484
--
485
-- Video shift register
486
--
487
  vga_shift_proc : process( hdmi_clk, vdu_rst)
488
  begin
489
    if vdu_rst = '1' then
490
      ack_write     <= '0';
491
      video_on2     <= '0';
492
      video_on      <= '0';
493
      cursor_on     <= '0';
494
      vga_bg_colour <= "000";
495
      vga_fg_colour <= "111";
496
      vga_shift     <= "00000000";
497
      vga_red     <= '0';
498
      vga_green   <= '0';
499
      vga_blue    <= '0';
500
      -- Put all video signals through DFFs to elminate any delays that cause a blurry image
501
 
502
    elsif hdmi_clk'event and hdmi_clk = '0' then
503
      -- Character Data valid on 1 count
504
      if h_count(2 downto 0) = "000" then
505
        if (req_write = '1') and (ack_write = '0') then
506
          ack_write <= '1';
507
        elsif (req_write = '0') and (ack_write = '1') then
508
          ack_write <= '0';
509
        else
510
          ack_write <= ack_write;
511
        end if;
512
        video_on2     <= video_on1;
513
        video_on      <= video_on2;
514
        cursor_on     <= (cursor_on1 or attr_data_out(3)) and blink_count(22);
515
        vga_fg_colour <= attr_data_out(2 downto 0);
516
        vga_bg_colour <= attr_data_out(6 downto 4);
517
        if attr_data_out(7) = '0' then
518
          vga_shift <= char_data_out;
519
        else
520
          case v_count(3 downto 2) is
521
            when "00" =>
522
              vga_shift(7 downto 4) <= vga_data_out(0) & vga_data_out(0) & vga_data_out(0) & vga_data_out(0);
523
              vga_shift(3 downto 0) <= vga_data_out(1) & vga_data_out(1) & vga_data_out(1) & vga_data_out(1);
524
            when "01" =>
525
              vga_shift(7 downto 4) <= vga_data_out(2) & vga_data_out(2) & vga_data_out(2) & vga_data_out(2);
526
              vga_shift(3 downto 0) <= vga_data_out(3) & vga_data_out(3) & vga_data_out(3) & vga_data_out(3);
527
            when "10" =>
528
              vga_shift(7 downto 4) <= vga_data_out(4) & vga_data_out(4) & vga_data_out(4) & vga_data_out(4);
529
              vga_shift(3 downto 0) <= vga_data_out(5) & vga_data_out(5) & vga_data_out(5) & vga_data_out(5);
530
            when others =>
531
              vga_shift(7 downto 4) <= vga_data_out(6) & vga_data_out(6) & vga_data_out(6) & vga_data_out(6);
532
              vga_shift(3 downto 0) <= vga_data_out(7) & vga_data_out(7) & vga_data_out(7) & vga_data_out(7);
533
          end case;
534
        end if;
535
      else
536
        vga_shift <= vga_shift(6 downto 0) & '0';
537
      end if;
538
 
539
      --
540
      -- Colour mask is
541
      --  7  6  5  4  3  2  1  0
542
      --  X BG BB BR  X FG FB FR
543
      --
544
      if vga_shift(7) = (not cursor_on) then
545
        vga_red   <= video_on and vga_fg_colour(0);
546
        vga_green <= video_on and vga_fg_colour(1);
547
        vga_blue  <= video_on and vga_fg_colour(2);
548
      else
549
        vga_red   <= video_on and vga_bg_colour(0);
550
        vga_green <= video_on and vga_bg_colour(1);
551
        vga_blue  <= video_on and vga_bg_colour(2);
552
      end if;
553
    end if;
554
  end process;
555
 
556
--
557
-- Sync generator & timing process
558
-- Generate Horizontal and Vertical Timing Signals for Video Signal
559
--
560
  vga_sync : process(hdmi_clk)
561
  begin
562
    if hdmi_clk'event and hdmi_clk = '0' then
563
      --
564
      -- H_count counts pixels (640 + extra time for sync signals)
565
      --
566
      --  Horiz_sync  -----------------------------__________--------
567
      --  H_count       0                640      659       755    799
568
      --
569
      if unsigned(h_count) = HOR_SCAN_END then
570
        h_count <= (others=>'0');
571
      else
572
        h_count <= std_logic_vector(unsigned(h_count) + 1);
573
      end if;
574
--
575
-- Generate Horizontal Sync Signal using H_count
576
--
577
      if unsigned(h_count) = HOR_SYNC_BEG then
578
        horiz_sync <= '0';
579
      elsif unsigned(h_count) = HOR_SYNC_END then
580
        horiz_sync <= '1';
581
      else
582
        horiz_sync <= horiz_sync;
583
      end if;
584
--
585
-- V_count counts rows of pixels
586
-- 400 lines + extra time for sync signals
587
-- 25 rows * 16 scan lines
588
--
589
--  Vert_sync      ---------------------------------_______------------
590
--  V_count         0                       400    413     414        444
591
--
592
      if (unsigned(v_count) = VER_SCAN_END) and (unsigned(h_count) = HOR_SCAN_END) then
593
        v_count <= "000000000";
594
      elsif unsigned(h_count) = HOR_SYNC_END then
595
        v_count <= std_logic_vector(unsigned(v_count) + 1);
596
      end if;
597
--
598
-- Generate Vertical Sync Signal using V_count
599
--
600
      if unsigned(v_count) = VER_SYNC_BEG then
601
        vert_sync <= '0';
602
      elsif unsigned(v_count) = VER_SYNC_END then
603
        vert_sync <= '1';
604
      else
605
        vert_sync <= vert_sync;
606
      end if;
607
 
608
-- Generate Video on Screen Signals for Pixel Data
609
      if unsigned(h_count) = HOR_SCAN_END then
610
        video_on_h <= '1';
611
      elsif unsigned(h_count) = HOR_DISP_END then
612
        video_on_h <= '0';
613
      else
614
        video_on_h <= video_on_h;
615
      end if;
616
 
617
      if unsigned(v_count) = VER_SCAN_END then
618
        video_on_v <= '1';
619
      elsif unsigned(v_count) = VER_DISP_END then
620
        video_on_v <= '0';
621
      else
622
        video_on_v <= video_on_v;
623
      end if;
624
 
625
 
626
      if h_count(9 downto 3) = reg_hcursor(6 downto 0) then
627
        cursor_on_h <= '1';
628
      else
629
        cursor_on_h <= '0';
630
      end if;
631
 
632
      if (v_count(8 downto 4) = reg_vcursor(4 downto 0)) then
633
        cursor_on_v <= '1';
634
      else
635
        cursor_on_v <= '0';
636
      end if;
637
 
638
      -- cursor_on is only active when on selected character
639
      blink_count <= std_logic_vector(unsigned(blink_count) + 1);
640
    end if;
641
 
642
  end process;
643
 
644
  -- video_on is high only when RGB data is displayed
645
  video_on1   <= video_on_H and video_on_V;
646
  cursor_on1  <= cursor_on_h and cursor_on_v;
647
 
648
  -- HDMI video signalling
649
  my_hdmi_assignments : process( vga_red, vga_green, vga_blue )
650
  begin
651
    HDMI_red(0)   <= vga_red;
652
    HDMI_red(1)   <= vga_red;
653
    HDMI_red(2)   <= vga_red;
654
    HDMI_red(3)   <= vga_red;
655
    HDMI_red(4)   <= vga_red;
656
    HDMI_red(5)   <= vga_red;
657
    HDMI_red(6)   <= vga_red;
658
    HDMI_red(7)   <= vga_red;
659
 
660
    HDMI_green(0) <= vga_green;
661
    HDMI_green(1) <= vga_green;
662
    HDMI_green(2) <= vga_green;
663
    HDMI_green(3) <= vga_green;
664
    HDMI_green(4) <= vga_green;
665
    HDMI_green(5) <= vga_green;
666
    HDMI_green(6) <= vga_green;
667
    HDMI_green(7) <= vga_green;
668
 
669
    HDMI_blue(0)  <= vga_blue;
670
    HDMI_blue(1)  <= vga_blue;
671
    HDMI_blue(2)  <= vga_blue;
672
    HDMI_blue(3)  <= vga_blue;
673
    HDMI_blue(4)  <= vga_blue;
674
    HDMI_blue(5)  <= vga_blue;
675
    HDMI_blue(6)  <= vga_blue;
676
    HDMI_blue(7)  <= vga_blue;
677
  end process;
678
 
679
  my_hdmi : RGB2HDMI_encoder
680
  port map (
681
    pixclk      => hdmi_clk,
682
         vSync       => vert_sync,
683
         hSync       => horiz_sync,
684
         DrawArea    => video_on1,
685
         red         => HDMI_red,
686
         green       => HDMI_green,
687
         blue        => HDMI_blue,
688
         TMDSp       => TMDSp,
689
         TMDSn       => TMDSn,
690
         TMDSp_clock => TMDSp_clock,
691
         TMDSn_clock => TMDSn_clock
692
  );
693
 
694
 
695
--
696
-- Here to look up character ROM
697
-- This will take one clock cycle
698
-- and should be performed on h_count = "111"
699
--
700
  char_addr(10 downto 4) <= vga_data_out(6 downto 0);
701
  char_addr(3 downto 0)  <= v_count(3 downto 0);
702
 
703
end RTL;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.