OpenCores
URL https://opencores.org/ocsvn/aes128_trojan/aes128_trojan/trunk

Subversion Repositories aes128_trojan

[/] [aes128_trojan/] [trunk/] [round.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 Excallibur
/*
2
 * Copyright 2012, Homer Hsing <homer.hsing@gmail.com>
3
 *
4
 * Licensed under the Apache License, Version 2.0 (the "License");
5
 * you may not use this file except in compliance with the License.
6
 * You may obtain a copy of the License at
7
 *
8
 * http://www.apache.org/licenses/LICENSE-2.0
9
 *
10
 * Unless required by applicable law or agreed to in writing, software
11
 * distributed under the License is distributed on an "AS IS" BASIS,
12
 * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
13
 * See the License for the specific language governing permissions and
14
 * limitations under the License.
15
 */
16
 
17
/* one AES round for every two clock cycles */
18
module one_round (clk, state_in, key, state_out);
19
    input              clk;
20
    input      [127:0] state_in, key;
21
    output reg [127:0] state_out;
22
    wire       [31:0]  s0,  s1,  s2,  s3,
23
                       z0,  z1,  z2,  z3,
24
                       p00, p01, p02, p03,
25
                       p10, p11, p12, p13,
26
                       p20, p21, p22, p23,
27
                       p30, p31, p32, p33,
28
                       k0,  k1,  k2,  k3;
29
 
30
    assign {k0, k1, k2, k3} = key;
31
 
32
    assign {s0, s1, s2, s3} = state_in;
33
 
34
    table_lookup
35
        t0 (clk, s0, p00, p01, p02, p03),
36
        t1 (clk, s1, p10, p11, p12, p13),
37
        t2 (clk, s2, p20, p21, p22, p23),
38
        t3 (clk, s3, p30, p31, p32, p33);
39
 
40
    assign z0 = p00 ^ p11 ^ p22 ^ p33 ^ k0;
41
    assign z1 = p03 ^ p10 ^ p21 ^ p32 ^ k1;
42
    assign z2 = p02 ^ p13 ^ p20 ^ p31 ^ k2;
43
    assign z3 = p01 ^ p12 ^ p23 ^ p30 ^ k3;
44
 
45
    always @ (posedge clk)
46
        state_out <= {z0, z1, z2, z3};
47
endmodule
48
 
49
/* AES final round for every two clock cycles */
50
module final_round (clk, state_in, key_in, state_out);
51
    input              clk;
52
    input      [127:0] state_in;
53
    input      [127:0] key_in;
54
    output reg [127:0] state_out;
55
    wire [31:0] s0,  s1,  s2,  s3,
56
                z0,  z1,  z2,  z3,
57
                k0,  k1,  k2,  k3;
58
    wire [7:0]  p00, p01, p02, p03,
59
                p10, p11, p12, p13,
60
                p20, p21, p22, p23,
61
                p30, p31, p32, p33;
62
 
63
    assign {k0, k1, k2, k3} = key_in;
64
 
65
    assign {s0, s1, s2, s3} = state_in;
66
 
67
    S4
68
        S4_1 (clk, s0, {p00, p01, p02, p03}),
69
        S4_2 (clk, s1, {p10, p11, p12, p13}),
70
        S4_3 (clk, s2, {p20, p21, p22, p23}),
71
        S4_4 (clk, s3, {p30, p31, p32, p33});
72
 
73
    assign z0 = {p00, p11, p22, p33} ^ k0;
74
    assign z1 = {p10, p21, p32, p03} ^ k1;
75
    assign z2 = {p20, p31, p02, p13} ^ k2;
76
    assign z3 = {p30, p01, p12, p23} ^ k3;
77
 
78
    always @ (posedge clk)
79
        state_out <= {z0, z1, z2, z3};
80
endmodule
81
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.