OpenCores
URL https://opencores.org/ocsvn/aes128_trojan/aes128_trojan/trunk

Subversion Repositories aes128_trojan

[/] [aes128_trojan/] [trunk/] [table.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 Excallibur
/*
2
 * Copyright 2012, Homer Hsing <homer.hsing@gmail.com>
3
 *
4
 * Licensed under the Apache License, Version 2.0 (the "License");
5
 * you may not use this file except in compliance with the License.
6
 * You may obtain a copy of the License at
7
 *
8
 * http://www.apache.org/licenses/LICENSE-2.0
9
 *
10
 * Unless required by applicable law or agreed to in writing, software
11
 * distributed under the License is distributed on an "AS IS" BASIS,
12
 * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
13
 * See the License for the specific language governing permissions and
14
 * limitations under the License.
15
 */
16
 
17
module table_lookup (clk, state, p0, p1, p2, p3);
18
    input clk;
19
    input [31:0] state;
20
    output [31:0] p0, p1, p2, p3;
21
    wire [7:0] b0, b1, b2, b3;
22
 
23
    assign {b0, b1, b2, b3} = state;
24
    T
25
        t0 (clk, b0, {p0[23:0], p0[31:24]}),
26
        t1 (clk, b1, {p1[15:0], p1[31:16]}),
27
        t2 (clk, b2, {p2[7:0],  p2[31:8]} ),
28
        t3 (clk, b3, p3);
29
endmodule
30
 
31
/* substitue four bytes in a word */
32
module S4 (clk, in, out);
33
    input clk;
34
    input [31:0] in;
35
    output [31:0] out;
36
 
37
    S
38
        S_0 (clk, in[31:24], out[31:24]),
39
        S_1 (clk, in[23:16], out[23:16]),
40
        S_2 (clk, in[15:8],  out[15:8] ),
41
        S_3 (clk, in[7:0],   out[7:0]  );
42
endmodule
43
 
44
/* S_box, S_box, S_box*(x+1), S_box*x */
45
module T (clk, in, out);
46
    input         clk;
47
    input  [7:0]  in;
48
    output [31:0] out;
49
 
50
    S
51
        s0 (clk, in, out[31:24]);
52
    assign out[23:16] = out[31:24];
53
    xS
54
        s4 (clk, in, out[7:0]);
55
    assign out[15:8] = out[23:16] ^ out[7:0];
56
endmodule
57
 
58
/* S box */
59
module S (clk, in, out);
60
    input clk;
61
    input [7:0] in;
62
    output reg [7:0] out;
63
 
64
    always @ (posedge clk)
65
    case (in)
66
    8'h00: out <= 8'h63;
67
    8'h01: out <= 8'h7c;
68
    8'h02: out <= 8'h77;
69
    8'h03: out <= 8'h7b;
70
    8'h04: out <= 8'hf2;
71
    8'h05: out <= 8'h6b;
72
    8'h06: out <= 8'h6f;
73
    8'h07: out <= 8'hc5;
74
    8'h08: out <= 8'h30;
75
    8'h09: out <= 8'h01;
76
    8'h0a: out <= 8'h67;
77
    8'h0b: out <= 8'h2b;
78
    8'h0c: out <= 8'hfe;
79
    8'h0d: out <= 8'hd7;
80
    8'h0e: out <= 8'hab;
81
    8'h0f: out <= 8'h76;
82
    8'h10: out <= 8'hca;
83
    8'h11: out <= 8'h82;
84
    8'h12: out <= 8'hc9;
85
    8'h13: out <= 8'h7d;
86
    8'h14: out <= 8'hfa;
87
    8'h15: out <= 8'h59;
88
    8'h16: out <= 8'h47;
89
    8'h17: out <= 8'hf0;
90
    8'h18: out <= 8'had;
91
    8'h19: out <= 8'hd4;
92
    8'h1a: out <= 8'ha2;
93
    8'h1b: out <= 8'haf;
94
    8'h1c: out <= 8'h9c;
95
    8'h1d: out <= 8'ha4;
96
    8'h1e: out <= 8'h72;
97
    8'h1f: out <= 8'hc0;
98
    8'h20: out <= 8'hb7;
99
    8'h21: out <= 8'hfd;
100
    8'h22: out <= 8'h93;
101
    8'h23: out <= 8'h26;
102
    8'h24: out <= 8'h36;
103
    8'h25: out <= 8'h3f;
104
    8'h26: out <= 8'hf7;
105
    8'h27: out <= 8'hcc;
106
    8'h28: out <= 8'h34;
107
    8'h29: out <= 8'ha5;
108
    8'h2a: out <= 8'he5;
109
    8'h2b: out <= 8'hf1;
110
    8'h2c: out <= 8'h71;
111
    8'h2d: out <= 8'hd8;
112
    8'h2e: out <= 8'h31;
113
    8'h2f: out <= 8'h15;
114
    8'h30: out <= 8'h04;
115
    8'h31: out <= 8'hc7;
116
    8'h32: out <= 8'h23;
117
    8'h33: out <= 8'hc3;
118
    8'h34: out <= 8'h18;
119
    8'h35: out <= 8'h96;
120
    8'h36: out <= 8'h05;
121
    8'h37: out <= 8'h9a;
122
    8'h38: out <= 8'h07;
123
    8'h39: out <= 8'h12;
124
    8'h3a: out <= 8'h80;
125
    8'h3b: out <= 8'he2;
126
    8'h3c: out <= 8'heb;
127
    8'h3d: out <= 8'h27;
128
    8'h3e: out <= 8'hb2;
129
    8'h3f: out <= 8'h75;
130
    8'h40: out <= 8'h09;
131
    8'h41: out <= 8'h83;
132
    8'h42: out <= 8'h2c;
133
    8'h43: out <= 8'h1a;
134
    8'h44: out <= 8'h1b;
135
    8'h45: out <= 8'h6e;
136
    8'h46: out <= 8'h5a;
137
    8'h47: out <= 8'ha0;
138
    8'h48: out <= 8'h52;
139
    8'h49: out <= 8'h3b;
140
    8'h4a: out <= 8'hd6;
141
    8'h4b: out <= 8'hb3;
142
    8'h4c: out <= 8'h29;
143
    8'h4d: out <= 8'he3;
144
    8'h4e: out <= 8'h2f;
145
    8'h4f: out <= 8'h84;
146
    8'h50: out <= 8'h53;
147
    8'h51: out <= 8'hd1;
148
    8'h52: out <= 8'h00;
149
    8'h53: out <= 8'hed;
150
    8'h54: out <= 8'h20;
151
    8'h55: out <= 8'hfc;
152
    8'h56: out <= 8'hb1;
153
    8'h57: out <= 8'h5b;
154
    8'h58: out <= 8'h6a;
155
    8'h59: out <= 8'hcb;
156
    8'h5a: out <= 8'hbe;
157
    8'h5b: out <= 8'h39;
158
    8'h5c: out <= 8'h4a;
159
    8'h5d: out <= 8'h4c;
160
    8'h5e: out <= 8'h58;
161
    8'h5f: out <= 8'hcf;
162
    8'h60: out <= 8'hd0;
163
    8'h61: out <= 8'hef;
164
    8'h62: out <= 8'haa;
165
    8'h63: out <= 8'hfb;
166
    8'h64: out <= 8'h43;
167
    8'h65: out <= 8'h4d;
168
    8'h66: out <= 8'h33;
169
    8'h67: out <= 8'h85;
170
    8'h68: out <= 8'h45;
171
    8'h69: out <= 8'hf9;
172
    8'h6a: out <= 8'h02;
173
    8'h6b: out <= 8'h7f;
174
    8'h6c: out <= 8'h50;
175
    8'h6d: out <= 8'h3c;
176
    8'h6e: out <= 8'h9f;
177
    8'h6f: out <= 8'ha8;
178
    8'h70: out <= 8'h51;
179
    8'h71: out <= 8'ha3;
180
    8'h72: out <= 8'h40;
181
    8'h73: out <= 8'h8f;
182
    8'h74: out <= 8'h92;
183
    8'h75: out <= 8'h9d;
184
    8'h76: out <= 8'h38;
185
    8'h77: out <= 8'hf5;
186
    8'h78: out <= 8'hbc;
187
    8'h79: out <= 8'hb6;
188
    8'h7a: out <= 8'hda;
189
    8'h7b: out <= 8'h21;
190
    8'h7c: out <= 8'h10;
191
    8'h7d: out <= 8'hff;
192
    8'h7e: out <= 8'hf3;
193
    8'h7f: out <= 8'hd2;
194
    8'h80: out <= 8'hcd;
195
    8'h81: out <= 8'h0c;
196
    8'h82: out <= 8'h13;
197
    8'h83: out <= 8'hec;
198
    8'h84: out <= 8'h5f;
199
    8'h85: out <= 8'h97;
200
    8'h86: out <= 8'h44;
201
    8'h87: out <= 8'h17;
202
    8'h88: out <= 8'hc4;
203
    8'h89: out <= 8'ha7;
204
    8'h8a: out <= 8'h7e;
205
    8'h8b: out <= 8'h3d;
206
    8'h8c: out <= 8'h64;
207
    8'h8d: out <= 8'h5d;
208
    8'h8e: out <= 8'h19;
209
    8'h8f: out <= 8'h73;
210
    8'h90: out <= 8'h60;
211
    8'h91: out <= 8'h81;
212
    8'h92: out <= 8'h4f;
213
    8'h93: out <= 8'hdc;
214
    8'h94: out <= 8'h22;
215
    8'h95: out <= 8'h2a;
216
    8'h96: out <= 8'h90;
217
    8'h97: out <= 8'h88;
218
    8'h98: out <= 8'h46;
219
    8'h99: out <= 8'hee;
220
    8'h9a: out <= 8'hb8;
221
    8'h9b: out <= 8'h14;
222
    8'h9c: out <= 8'hde;
223
    8'h9d: out <= 8'h5e;
224
    8'h9e: out <= 8'h0b;
225
    8'h9f: out <= 8'hdb;
226
    8'ha0: out <= 8'he0;
227
    8'ha1: out <= 8'h32;
228
    8'ha2: out <= 8'h3a;
229
    8'ha3: out <= 8'h0a;
230
    8'ha4: out <= 8'h49;
231
    8'ha5: out <= 8'h06;
232
    8'ha6: out <= 8'h24;
233
    8'ha7: out <= 8'h5c;
234
    8'ha8: out <= 8'hc2;
235
    8'ha9: out <= 8'hd3;
236
    8'haa: out <= 8'hac;
237
    8'hab: out <= 8'h62;
238
    8'hac: out <= 8'h91;
239
    8'had: out <= 8'h95;
240
    8'hae: out <= 8'he4;
241
    8'haf: out <= 8'h79;
242
    8'hb0: out <= 8'he7;
243
    8'hb1: out <= 8'hc8;
244
    8'hb2: out <= 8'h37;
245
    8'hb3: out <= 8'h6d;
246
    8'hb4: out <= 8'h8d;
247
    8'hb5: out <= 8'hd5;
248
    8'hb6: out <= 8'h4e;
249
    8'hb7: out <= 8'ha9;
250
    8'hb8: out <= 8'h6c;
251
    8'hb9: out <= 8'h56;
252
    8'hba: out <= 8'hf4;
253
    8'hbb: out <= 8'hea;
254
    8'hbc: out <= 8'h65;
255
    8'hbd: out <= 8'h7a;
256
    8'hbe: out <= 8'hae;
257
    8'hbf: out <= 8'h08;
258
    8'hc0: out <= 8'hba;
259
    8'hc1: out <= 8'h78;
260
    8'hc2: out <= 8'h25;
261
    8'hc3: out <= 8'h2e;
262
    8'hc4: out <= 8'h1c;
263
    8'hc5: out <= 8'ha6;
264
    8'hc6: out <= 8'hb4;
265
    8'hc7: out <= 8'hc6;
266
    8'hc8: out <= 8'he8;
267
    8'hc9: out <= 8'hdd;
268
    8'hca: out <= 8'h74;
269
    8'hcb: out <= 8'h1f;
270
    8'hcc: out <= 8'h4b;
271
    8'hcd: out <= 8'hbd;
272
    8'hce: out <= 8'h8b;
273
    8'hcf: out <= 8'h8a;
274
    8'hd0: out <= 8'h70;
275
    8'hd1: out <= 8'h3e;
276
    8'hd2: out <= 8'hb5;
277
    8'hd3: out <= 8'h66;
278
    8'hd4: out <= 8'h48;
279
    8'hd5: out <= 8'h03;
280
    8'hd6: out <= 8'hf6;
281
    8'hd7: out <= 8'h0e;
282
    8'hd8: out <= 8'h61;
283
    8'hd9: out <= 8'h35;
284
    8'hda: out <= 8'h57;
285
    8'hdb: out <= 8'hb9;
286
    8'hdc: out <= 8'h86;
287
    8'hdd: out <= 8'hc1;
288
    8'hde: out <= 8'h1d;
289
    8'hdf: out <= 8'h9e;
290
    8'he0: out <= 8'he1;
291
    8'he1: out <= 8'hf8;
292
    8'he2: out <= 8'h98;
293
    8'he3: out <= 8'h11;
294
    8'he4: out <= 8'h69;
295
    8'he5: out <= 8'hd9;
296
    8'he6: out <= 8'h8e;
297
    8'he7: out <= 8'h94;
298
    8'he8: out <= 8'h9b;
299
    8'he9: out <= 8'h1e;
300
    8'hea: out <= 8'h87;
301
    8'heb: out <= 8'he9;
302
    8'hec: out <= 8'hce;
303
    8'hed: out <= 8'h55;
304
    8'hee: out <= 8'h28;
305
    8'hef: out <= 8'hdf;
306
    8'hf0: out <= 8'h8c;
307
    8'hf1: out <= 8'ha1;
308
    8'hf2: out <= 8'h89;
309
    8'hf3: out <= 8'h0d;
310
    8'hf4: out <= 8'hbf;
311
    8'hf5: out <= 8'he6;
312
    8'hf6: out <= 8'h42;
313
    8'hf7: out <= 8'h68;
314
    8'hf8: out <= 8'h41;
315
    8'hf9: out <= 8'h99;
316
    8'hfa: out <= 8'h2d;
317
    8'hfb: out <= 8'h0f;
318
    8'hfc: out <= 8'hb0;
319
    8'hfd: out <= 8'h54;
320
    8'hfe: out <= 8'hbb;
321
    8'hff: out <= 8'h16;
322
    endcase
323
endmodule
324
 
325
/* S box * x */
326
module xS (clk, in, out);
327
    input clk;
328
    input [7:0] in;
329
    output reg [7:0] out;
330
 
331
    always @ (posedge clk)
332
    case (in)
333
    8'h00: out <= 8'hc6;
334
    8'h01: out <= 8'hf8;
335
    8'h02: out <= 8'hee;
336
    8'h03: out <= 8'hf6;
337
    8'h04: out <= 8'hff;
338
    8'h05: out <= 8'hd6;
339
    8'h06: out <= 8'hde;
340
    8'h07: out <= 8'h91;
341
    8'h08: out <= 8'h60;
342
    8'h09: out <= 8'h02;
343
    8'h0a: out <= 8'hce;
344
    8'h0b: out <= 8'h56;
345
    8'h0c: out <= 8'he7;
346
    8'h0d: out <= 8'hb5;
347
    8'h0e: out <= 8'h4d;
348
    8'h0f: out <= 8'hec;
349
    8'h10: out <= 8'h8f;
350
    8'h11: out <= 8'h1f;
351
    8'h12: out <= 8'h89;
352
    8'h13: out <= 8'hfa;
353
    8'h14: out <= 8'hef;
354
    8'h15: out <= 8'hb2;
355
    8'h16: out <= 8'h8e;
356
    8'h17: out <= 8'hfb;
357
    8'h18: out <= 8'h41;
358
    8'h19: out <= 8'hb3;
359
    8'h1a: out <= 8'h5f;
360
    8'h1b: out <= 8'h45;
361
    8'h1c: out <= 8'h23;
362
    8'h1d: out <= 8'h53;
363
    8'h1e: out <= 8'he4;
364
    8'h1f: out <= 8'h9b;
365
    8'h20: out <= 8'h75;
366
    8'h21: out <= 8'he1;
367
    8'h22: out <= 8'h3d;
368
    8'h23: out <= 8'h4c;
369
    8'h24: out <= 8'h6c;
370
    8'h25: out <= 8'h7e;
371
    8'h26: out <= 8'hf5;
372
    8'h27: out <= 8'h83;
373
    8'h28: out <= 8'h68;
374
    8'h29: out <= 8'h51;
375
    8'h2a: out <= 8'hd1;
376
    8'h2b: out <= 8'hf9;
377
    8'h2c: out <= 8'he2;
378
    8'h2d: out <= 8'hab;
379
    8'h2e: out <= 8'h62;
380
    8'h2f: out <= 8'h2a;
381
    8'h30: out <= 8'h08;
382
    8'h31: out <= 8'h95;
383
    8'h32: out <= 8'h46;
384
    8'h33: out <= 8'h9d;
385
    8'h34: out <= 8'h30;
386
    8'h35: out <= 8'h37;
387
    8'h36: out <= 8'h0a;
388
    8'h37: out <= 8'h2f;
389
    8'h38: out <= 8'h0e;
390
    8'h39: out <= 8'h24;
391
    8'h3a: out <= 8'h1b;
392
    8'h3b: out <= 8'hdf;
393
    8'h3c: out <= 8'hcd;
394
    8'h3d: out <= 8'h4e;
395
    8'h3e: out <= 8'h7f;
396
    8'h3f: out <= 8'hea;
397
    8'h40: out <= 8'h12;
398
    8'h41: out <= 8'h1d;
399
    8'h42: out <= 8'h58;
400
    8'h43: out <= 8'h34;
401
    8'h44: out <= 8'h36;
402
    8'h45: out <= 8'hdc;
403
    8'h46: out <= 8'hb4;
404
    8'h47: out <= 8'h5b;
405
    8'h48: out <= 8'ha4;
406
    8'h49: out <= 8'h76;
407
    8'h4a: out <= 8'hb7;
408
    8'h4b: out <= 8'h7d;
409
    8'h4c: out <= 8'h52;
410
    8'h4d: out <= 8'hdd;
411
    8'h4e: out <= 8'h5e;
412
    8'h4f: out <= 8'h13;
413
    8'h50: out <= 8'ha6;
414
    8'h51: out <= 8'hb9;
415
    8'h52: out <= 8'h00;
416
    8'h53: out <= 8'hc1;
417
    8'h54: out <= 8'h40;
418
    8'h55: out <= 8'he3;
419
    8'h56: out <= 8'h79;
420
    8'h57: out <= 8'hb6;
421
    8'h58: out <= 8'hd4;
422
    8'h59: out <= 8'h8d;
423
    8'h5a: out <= 8'h67;
424
    8'h5b: out <= 8'h72;
425
    8'h5c: out <= 8'h94;
426
    8'h5d: out <= 8'h98;
427
    8'h5e: out <= 8'hb0;
428
    8'h5f: out <= 8'h85;
429
    8'h60: out <= 8'hbb;
430
    8'h61: out <= 8'hc5;
431
    8'h62: out <= 8'h4f;
432
    8'h63: out <= 8'hed;
433
    8'h64: out <= 8'h86;
434
    8'h65: out <= 8'h9a;
435
    8'h66: out <= 8'h66;
436
    8'h67: out <= 8'h11;
437
    8'h68: out <= 8'h8a;
438
    8'h69: out <= 8'he9;
439
    8'h6a: out <= 8'h04;
440
    8'h6b: out <= 8'hfe;
441
    8'h6c: out <= 8'ha0;
442
    8'h6d: out <= 8'h78;
443
    8'h6e: out <= 8'h25;
444
    8'h6f: out <= 8'h4b;
445
    8'h70: out <= 8'ha2;
446
    8'h71: out <= 8'h5d;
447
    8'h72: out <= 8'h80;
448
    8'h73: out <= 8'h05;
449
    8'h74: out <= 8'h3f;
450
    8'h75: out <= 8'h21;
451
    8'h76: out <= 8'h70;
452
    8'h77: out <= 8'hf1;
453
    8'h78: out <= 8'h63;
454
    8'h79: out <= 8'h77;
455
    8'h7a: out <= 8'haf;
456
    8'h7b: out <= 8'h42;
457
    8'h7c: out <= 8'h20;
458
    8'h7d: out <= 8'he5;
459
    8'h7e: out <= 8'hfd;
460
    8'h7f: out <= 8'hbf;
461
    8'h80: out <= 8'h81;
462
    8'h81: out <= 8'h18;
463
    8'h82: out <= 8'h26;
464
    8'h83: out <= 8'hc3;
465
    8'h84: out <= 8'hbe;
466
    8'h85: out <= 8'h35;
467
    8'h86: out <= 8'h88;
468
    8'h87: out <= 8'h2e;
469
    8'h88: out <= 8'h93;
470
    8'h89: out <= 8'h55;
471
    8'h8a: out <= 8'hfc;
472
    8'h8b: out <= 8'h7a;
473
    8'h8c: out <= 8'hc8;
474
    8'h8d: out <= 8'hba;
475
    8'h8e: out <= 8'h32;
476
    8'h8f: out <= 8'he6;
477
    8'h90: out <= 8'hc0;
478
    8'h91: out <= 8'h19;
479
    8'h92: out <= 8'h9e;
480
    8'h93: out <= 8'ha3;
481
    8'h94: out <= 8'h44;
482
    8'h95: out <= 8'h54;
483
    8'h96: out <= 8'h3b;
484
    8'h97: out <= 8'h0b;
485
    8'h98: out <= 8'h8c;
486
    8'h99: out <= 8'hc7;
487
    8'h9a: out <= 8'h6b;
488
    8'h9b: out <= 8'h28;
489
    8'h9c: out <= 8'ha7;
490
    8'h9d: out <= 8'hbc;
491
    8'h9e: out <= 8'h16;
492
    8'h9f: out <= 8'had;
493
    8'ha0: out <= 8'hdb;
494
    8'ha1: out <= 8'h64;
495
    8'ha2: out <= 8'h74;
496
    8'ha3: out <= 8'h14;
497
    8'ha4: out <= 8'h92;
498
    8'ha5: out <= 8'h0c;
499
    8'ha6: out <= 8'h48;
500
    8'ha7: out <= 8'hb8;
501
    8'ha8: out <= 8'h9f;
502
    8'ha9: out <= 8'hbd;
503
    8'haa: out <= 8'h43;
504
    8'hab: out <= 8'hc4;
505
    8'hac: out <= 8'h39;
506
    8'had: out <= 8'h31;
507
    8'hae: out <= 8'hd3;
508
    8'haf: out <= 8'hf2;
509
    8'hb0: out <= 8'hd5;
510
    8'hb1: out <= 8'h8b;
511
    8'hb2: out <= 8'h6e;
512
    8'hb3: out <= 8'hda;
513
    8'hb4: out <= 8'h01;
514
    8'hb5: out <= 8'hb1;
515
    8'hb6: out <= 8'h9c;
516
    8'hb7: out <= 8'h49;
517
    8'hb8: out <= 8'hd8;
518
    8'hb9: out <= 8'hac;
519
    8'hba: out <= 8'hf3;
520
    8'hbb: out <= 8'hcf;
521
    8'hbc: out <= 8'hca;
522
    8'hbd: out <= 8'hf4;
523
    8'hbe: out <= 8'h47;
524
    8'hbf: out <= 8'h10;
525
    8'hc0: out <= 8'h6f;
526
    8'hc1: out <= 8'hf0;
527
    8'hc2: out <= 8'h4a;
528
    8'hc3: out <= 8'h5c;
529
    8'hc4: out <= 8'h38;
530
    8'hc5: out <= 8'h57;
531
    8'hc6: out <= 8'h73;
532
    8'hc7: out <= 8'h97;
533
    8'hc8: out <= 8'hcb;
534
    8'hc9: out <= 8'ha1;
535
    8'hca: out <= 8'he8;
536
    8'hcb: out <= 8'h3e;
537
    8'hcc: out <= 8'h96;
538
    8'hcd: out <= 8'h61;
539
    8'hce: out <= 8'h0d;
540
    8'hcf: out <= 8'h0f;
541
    8'hd0: out <= 8'he0;
542
    8'hd1: out <= 8'h7c;
543
    8'hd2: out <= 8'h71;
544
    8'hd3: out <= 8'hcc;
545
    8'hd4: out <= 8'h90;
546
    8'hd5: out <= 8'h06;
547
    8'hd6: out <= 8'hf7;
548
    8'hd7: out <= 8'h1c;
549
    8'hd8: out <= 8'hc2;
550
    8'hd9: out <= 8'h6a;
551
    8'hda: out <= 8'hae;
552
    8'hdb: out <= 8'h69;
553
    8'hdc: out <= 8'h17;
554
    8'hdd: out <= 8'h99;
555
    8'hde: out <= 8'h3a;
556
    8'hdf: out <= 8'h27;
557
    8'he0: out <= 8'hd9;
558
    8'he1: out <= 8'heb;
559
    8'he2: out <= 8'h2b;
560
    8'he3: out <= 8'h22;
561
    8'he4: out <= 8'hd2;
562
    8'he5: out <= 8'ha9;
563
    8'he6: out <= 8'h07;
564
    8'he7: out <= 8'h33;
565
    8'he8: out <= 8'h2d;
566
    8'he9: out <= 8'h3c;
567
    8'hea: out <= 8'h15;
568
    8'heb: out <= 8'hc9;
569
    8'hec: out <= 8'h87;
570
    8'hed: out <= 8'haa;
571
    8'hee: out <= 8'h50;
572
    8'hef: out <= 8'ha5;
573
    8'hf0: out <= 8'h03;
574
    8'hf1: out <= 8'h59;
575
    8'hf2: out <= 8'h09;
576
    8'hf3: out <= 8'h1a;
577
    8'hf4: out <= 8'h65;
578
    8'hf5: out <= 8'hd7;
579
    8'hf6: out <= 8'h84;
580
    8'hf7: out <= 8'hd0;
581
    8'hf8: out <= 8'h82;
582
    8'hf9: out <= 8'h29;
583
    8'hfa: out <= 8'h5a;
584
    8'hfb: out <= 8'h1e;
585
    8'hfc: out <= 8'h7b;
586
    8'hfd: out <= 8'ha8;
587
    8'hfe: out <= 8'h6d;
588
    8'hff: out <= 8'h2c;
589
    endcase
590
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.