OpenCores
URL https://opencores.org/ocsvn/altor32/altor32/trunk

Subversion Repositories altor32

[/] [altor32/] [trunk/] [rtl/] [cpu/] [altor32_regfile_xil.v] - Blame information for rev 36

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 ultra_embe
//-----------------------------------------------------------------
2
//                           AltOR32 
3
//                Alternative Lightweight OpenRisc 
4 36 ultra_embe
//                            V2.1
5 27 ultra_embe
//                     Ultra-Embedded.com
6 36 ultra_embe
//                   Copyright 2011 - 2014
7 27 ultra_embe
//
8
//               Email: admin@ultra-embedded.com
9
//
10
//                       License: LGPL
11
//-----------------------------------------------------------------
12
//
13
// Copyright (C) 2011 - 2013 Ultra-Embedded.com
14
//
15
// This source file may be used and distributed without         
16
// restriction provided that this copyright statement is not    
17
// removed from the file and that any derivative work contains  
18
// the original copyright notice and the associated disclaimer. 
19
//
20
// This source file is free software; you can redistribute it   
21
// and/or modify it under the terms of the GNU Lesser General   
22
// Public License as published by the Free Software Foundation; 
23
// either version 2.1 of the License, or (at your option) any   
24
// later version.
25
//
26
// This source is distributed in the hope that it will be       
27
// useful, but WITHOUT ANY WARRANTY; without even the implied   
28
// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      
29
// PURPOSE.  See the GNU Lesser General Public License for more 
30
// details.
31
//
32
// You should have received a copy of the GNU Lesser General    
33
// Public License along with this source; if not, write to the 
34
// Free Software Foundation, Inc., 59 Temple Place, Suite 330, 
35
// Boston, MA  02111-1307  USA
36
//-----------------------------------------------------------------
37
 
38
//-----------------------------------------------------------------
39
// Includes
40
//-----------------------------------------------------------------
41
`include "altor32_defs.v"
42
 
43
//-----------------------------------------------------------------
44
// Module - Xilinx register file (async read)
45
//-----------------------------------------------------------------
46
module altor32_regfile_xil
47
(
48
    input             clk_i       /*verilator public*/,
49
    input             rst_i       /*verilator public*/,
50
    input             wr_i        /*verilator public*/,
51
    input [4:0]       rs_i        /*verilator public*/,
52
    input [4:0]       rt_i        /*verilator public*/,
53
    input [4:0]       rd_i        /*verilator public*/,
54
    output reg [31:0] reg_rs_o    /*verilator public*/,
55
    output reg [31:0] reg_rt_o    /*verilator public*/,
56
    input [31:0]      reg_rd_i    /*verilator public*/
57
);
58
 
59
//-----------------------------------------------------------------
60
// Params
61
//-----------------------------------------------------------------
62
parameter       SUPPORT_32REGS = "ENABLED";
63
 
64
//-----------------------------------------------------------------
65
// Registers
66
//-----------------------------------------------------------------
67
reg [4:0]       addr_write;
68
wire [31:0]     data_out1;
69
wire [31:0]     data_out2;
70
reg             write_enable;
71
wire [31:0]     data_out1a;
72
wire [31:0]     data_out1b;
73
wire [31:0]     data_out2a;
74
wire [31:0]     data_out2b;
75
wire            wea;
76
wire            web;
77
 
78
//-----------------------------------------------------------------
79
// Async Read Process
80
//-----------------------------------------------------------------
81
always @ (clk_i or rs_i or rt_i or rd_i or reg_rd_i or data_out1 or data_out2 or rst_i or wr_i)
82
begin
83
    // Read Rs
84
    if (rs_i == 5'b00000)
85
        reg_rs_o <= 32'h00000000;
86
    else
87
        reg_rs_o <= data_out1;
88
 
89
    // Read Rt
90
    if (rt_i == 5'b00000)
91
        reg_rt_o <= 32'h00000000;
92
    else
93
        reg_rt_o <= data_out2;
94
 
95
    // Write enabled?
96
    addr_write <= rd_i[4:0];
97
    if ((rd_i != 5'b00000) & (wr_i == 1'b1))
98
        write_enable <= 1'b1;
99
    else
100
        write_enable <= 1'b0;
101
end
102
 
103
//-----------------------------------------------------------------
104
// Register File (using RAM16X1D )
105
//-----------------------------------------------------------------
106
 
107
// Registers 0 - 15
108
generate
109
begin
110
   genvar i;
111
   for (i=0;i<32;i=i+1)
112
   begin : reg_loop1
113
       RAM16X1D reg_bit1a(.WCLK(clk_i), .WE(wea), .A0(addr_write[0]), .A1(addr_write[1]), .A2(addr_write[2]), .A3(addr_write[3]), .D(reg_rd_i[i]), .DPRA0(rs_i[0]), .DPRA1(rs_i[1]), .DPRA2(rs_i[2]), .DPRA3(rs_i[3]), .DPO(data_out1a[i]), .SPO(/* open */));
114
       RAM16X1D reg_bit1b(.WCLK(clk_i), .WE(web), .A0(addr_write[0]), .A1(addr_write[1]), .A2(addr_write[2]), .A3(addr_write[3]), .D(reg_rd_i[i]), .DPRA0(rs_i[0]), .DPRA1(rs_i[1]), .DPRA2(rs_i[2]), .DPRA3(rs_i[3]), .DPO(data_out1b[i]), .SPO(/* open */));
115
   end
116
end
117
endgenerate
118
 
119
// Registers 16 - 31
120
generate
121
if (SUPPORT_32REGS == "ENABLED")
122
begin
123
   genvar i;
124
   for (i=0;i<32;i=i+1)
125
   begin : reg_loop2
126
       RAM16X1D reg_bit2a(.WCLK(clk_i), .WE(wea), .A0(addr_write[0]), .A1(addr_write[1]), .A2(addr_write[2]), .A3(addr_write[3]), .D(reg_rd_i[i]), .DPRA0(rt_i[0]), .DPRA1(rt_i[1]), .DPRA2(rt_i[2]), .DPRA3(rt_i[3]), .DPO(data_out2a[i]), .SPO(/* open */));
127
       RAM16X1D reg_bit2b(.WCLK(clk_i), .WE(web), .A0(addr_write[0]), .A1(addr_write[1]), .A2(addr_write[2]), .A3(addr_write[3]), .D(reg_rd_i[i]), .DPRA0(rt_i[0]), .DPRA1(rt_i[1]), .DPRA2(rt_i[2]), .DPRA3(rt_i[3]), .DPO(data_out2b[i]), .SPO(/* open */));
128
   end
129
end
130
else
131
begin
132
    assign data_out2a = 32'h00000000;
133
    assign data_out2b = 32'h00000000;
134
end
135
endgenerate
136
 
137
//-----------------------------------------------------------------
138
// Combinatorial Assignments
139
//-----------------------------------------------------------------
140
assign data_out1  = (rs_i[4] == 1'b0) ? data_out1a : data_out1b;
141
assign data_out2  = (rt_i[4] == 1'b0) ? data_out2a : data_out2b;
142
assign wea        = (write_enable & ~ (addr_write[4]));
143
assign web        = (write_enable & addr_write[4]);
144
 
145
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.