OpenCores
URL https://opencores.org/ocsvn/altor32/altor32/trunk

Subversion Repositories altor32

[/] [altor32/] [trunk/] [rtl/] [cpu/] [altor32_regfile_xil.v] - Blame information for rev 37

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 ultra_embe
//-----------------------------------------------------------------
2
//                           AltOR32 
3
//                Alternative Lightweight OpenRisc 
4 36 ultra_embe
//                            V2.1
5 27 ultra_embe
//                     Ultra-Embedded.com
6 36 ultra_embe
//                   Copyright 2011 - 2014
7 27 ultra_embe
//
8
//               Email: admin@ultra-embedded.com
9
//
10
//                       License: LGPL
11
//-----------------------------------------------------------------
12
//
13 37 ultra_embe
// Copyright (C) 2011 - 2014 Ultra-Embedded.com
14 27 ultra_embe
//
15
// This source file may be used and distributed without         
16
// restriction provided that this copyright statement is not    
17
// removed from the file and that any derivative work contains  
18
// the original copyright notice and the associated disclaimer. 
19
//
20
// This source file is free software; you can redistribute it   
21
// and/or modify it under the terms of the GNU Lesser General   
22
// Public License as published by the Free Software Foundation; 
23
// either version 2.1 of the License, or (at your option) any   
24
// later version.
25
//
26
// This source is distributed in the hope that it will be       
27
// useful, but WITHOUT ANY WARRANTY; without even the implied   
28
// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      
29
// PURPOSE.  See the GNU Lesser General Public License for more 
30
// details.
31
//
32
// You should have received a copy of the GNU Lesser General    
33
// Public License along with this source; if not, write to the 
34
// Free Software Foundation, Inc., 59 Temple Place, Suite 330, 
35
// Boston, MA  02111-1307  USA
36
//-----------------------------------------------------------------
37
 
38
//-----------------------------------------------------------------
39
// Includes
40
//-----------------------------------------------------------------
41
`include "altor32_defs.v"
42
 
43
//-----------------------------------------------------------------
44
// Module - Xilinx register file (async read)
45
//-----------------------------------------------------------------
46
module altor32_regfile_xil
47
(
48 37 ultra_embe
    input               clk_i       /*verilator public*/,
49
    input               rst_i       /*verilator public*/,
50
    input               wr_i        /*verilator public*/,
51
    input [4:0]         ra_i        /*verilator public*/,
52
    input [4:0]         rb_i        /*verilator public*/,
53
    input [4:0]         rd_i        /*verilator public*/,
54
    output reg [31:0]   reg_ra_o    /*verilator public*/,
55
    output reg [31:0]   reg_rb_o    /*verilator public*/,
56
    input [31:0]        reg_rd_i    /*verilator public*/
57 27 ultra_embe
);
58
 
59
//-----------------------------------------------------------------
60
// Params
61
//-----------------------------------------------------------------
62
parameter       SUPPORT_32REGS = "ENABLED";
63
 
64
//-----------------------------------------------------------------
65 37 ultra_embe
// Registers / Wires
66 27 ultra_embe
//-----------------------------------------------------------------
67 37 ultra_embe
wire [31:0]     reg_ra_w;
68
wire [31:0]     reg_rb_w;
69
wire [31:0]     ra_0_15_w;
70
wire [31:0]     ra_16_31_w;
71
wire [31:0]     rb_0_15_w;
72
wire [31:0]     rb_16_31_w;
73
wire            write_enable_w;
74
wire            write_banka_w;
75
wire            write_bankb_w;
76 27 ultra_embe
 
77
//-----------------------------------------------------------------
78
// Register File (using RAM16X1D )
79
//-----------------------------------------------------------------
80
 
81
// Registers 0 - 15
82
generate
83
begin
84
   genvar i;
85
   for (i=0;i<32;i=i+1)
86
   begin : reg_loop1
87 37 ultra_embe
       RAM16X1D reg_bit1a(.WCLK(clk_i), .WE(write_banka_w), .A0(rd_i[0]), .A1(rd_i[1]), .A2(rd_i[2]), .A3(rd_i[3]), .D(reg_rd_i[i]), .DPRA0(ra_i[0]), .DPRA1(ra_i[1]), .DPRA2(ra_i[2]), .DPRA3(ra_i[3]), .DPO(ra_0_15_w[i]), .SPO(/* open */));
88
       RAM16X1D reg_bit2a(.WCLK(clk_i), .WE(write_banka_w), .A0(rd_i[0]), .A1(rd_i[1]), .A2(rd_i[2]), .A3(rd_i[3]), .D(reg_rd_i[i]), .DPRA0(rb_i[0]), .DPRA1(rb_i[1]), .DPRA2(rb_i[2]), .DPRA3(rb_i[3]), .DPO(rb_0_15_w[i]), .SPO(/* open */));
89 27 ultra_embe
   end
90
end
91
endgenerate
92
 
93
// Registers 16 - 31
94
generate
95
if (SUPPORT_32REGS == "ENABLED")
96
begin
97
   genvar i;
98
   for (i=0;i<32;i=i+1)
99
   begin : reg_loop2
100 37 ultra_embe
       RAM16X1D reg_bit1b(.WCLK(clk_i), .WE(write_bankb_w), .A0(rd_i[0]), .A1(rd_i[1]), .A2(rd_i[2]), .A3(rd_i[3]), .D(reg_rd_i[i]), .DPRA0(ra_i[0]), .DPRA1(ra_i[1]), .DPRA2(ra_i[2]), .DPRA3(ra_i[3]), .DPO(ra_16_31_w[i]), .SPO(/* open */));
101
       RAM16X1D reg_bit2b(.WCLK(clk_i), .WE(write_bankb_w), .A0(rd_i[0]), .A1(rd_i[1]), .A2(rd_i[2]), .A3(rd_i[3]), .D(reg_rd_i[i]), .DPRA0(rb_i[0]), .DPRA1(rb_i[1]), .DPRA2(rb_i[2]), .DPRA3(rb_i[3]), .DPO(rb_16_31_w[i]), .SPO(/* open */));
102 27 ultra_embe
   end
103
end
104
else
105
begin
106 37 ultra_embe
    assign ra_16_31_w = 32'h00000000;
107
    assign rb_16_31_w = 32'h00000000;
108 27 ultra_embe
end
109
endgenerate
110
 
111
//-----------------------------------------------------------------
112
// Combinatorial Assignments
113
//-----------------------------------------------------------------
114 37 ultra_embe
assign reg_ra_w       = (ra_i[4] == 1'b0) ? ra_0_15_w : ra_16_31_w;
115
assign reg_rb_w       = (rb_i[4] == 1'b0) ? rb_0_15_w : rb_16_31_w;
116 27 ultra_embe
 
117 37 ultra_embe
assign write_enable_w = (rd_i != 5'b00000) & wr_i;
118
 
119
assign write_banka_w  = (write_enable_w & (~rd_i[4]));
120
assign write_bankb_w  = (write_enable_w & rd_i[4]);
121
 
122
// Register read ports
123
always @ *
124
begin
125
    if (ra_i == 5'b00000)
126
        reg_ra_o = 32'h00000000;
127
    else
128
        reg_ra_o = reg_ra_w;
129
 
130
    if (rb_i == 5'b00000)
131
        reg_rb_o = 32'h00000000;
132
    else
133
        reg_rb_o = reg_rb_w;
134
end
135
 
136 27 ultra_embe
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.