OpenCores
URL https://opencores.org/ocsvn/altor32/altor32/trunk

Subversion Repositories altor32

[/] [altor32/] [trunk/] [rtl/] [sim/] [ram_dp8.v] - Blame information for rev 27

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 27 ultra_embe
 
2
//-----------------------------------------------------------------
3
// Module: ram_dp8 - dual port block RAM
4
//-----------------------------------------------------------------
5
module ram_dp8
6
(
7
    aclk_i,
8
    aadr_i,
9
    adat_i,
10
    awr_i,
11
    adat_o,
12
 
13
    bclk_i,
14
    badr_i,
15
    bdat_i,
16
    bwr_i,
17
    bdat_o
18
);
19
 
20
//-----------------------------------------------------------------
21
// Params
22
//-----------------------------------------------------------------
23
parameter  [31:0]       WIDTH = 8;
24
parameter  [31:0]       SIZE = 14;
25
 
26
//-----------------------------------------------------------------
27
// I/O
28
//-----------------------------------------------------------------
29
input                   aclk_i /*verilator public*/;
30
output [(WIDTH - 1):0]  adat_o /*verilator public*/;
31
input [(WIDTH - 1):0]   adat_i /*verilator public*/;
32
input [(SIZE - 1):0]    aadr_i /*verilator public*/;
33
input                   awr_i /*verilator public*/;
34
input                   bclk_i /*verilator public*/;
35
output [(WIDTH - 1):0]  bdat_o /*verilator public*/;
36
input [(WIDTH - 1):0]   bdat_i /*verilator public*/;
37
input [(SIZE - 1):0]    badr_i /*verilator public*/;
38
input                   bwr_i /*verilator public*/;
39
 
40
//-----------------------------------------------------------------
41
// Registers
42
//-----------------------------------------------------------------
43
/* verilator lint_off MULTIDRIVEN */
44
reg [(WIDTH - 1):0]     ram [((2<< (SIZE-1)) - 1):0] /*verilator public*/;
45
/* verilator lint_on MULTIDRIVEN */
46
 
47
reg [(SIZE - 1):0]      rd_addr_a;
48
reg [(SIZE - 1):0]      rd_addr_b;
49
wire [(WIDTH - 1):0]    adat_o;
50
wire [(WIDTH - 1):0]    bdat_o;
51
 
52
//-----------------------------------------------------------------
53
// Processes
54
//-----------------------------------------------------------------
55
always @ (posedge aclk_i)
56
begin
57
    if (awr_i == 1'b1)
58
        ram[aadr_i] <= adat_i;
59
    rd_addr_a <= aadr_i;
60
end
61
always @ (posedge bclk_i)
62
begin
63
    if (bwr_i == 1'b1)
64
        ram[badr_i] <= bdat_i;
65
    rd_addr_b <= badr_i;
66
end
67
 
68
//-------------------------------------------------------------------
69
// Combinatorial
70
//-------------------------------------------------------------------
71
assign adat_o = ram[rd_addr_a];
72
assign bdat_o = ram[rd_addr_b];
73
 
74
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.