OpenCores
URL https://opencores.org/ocsvn/ao486/ao486/trunk

Subversion Repositories ao486

[/] [ao486/] [trunk/] [syn/] [components/] [sd_card/] [sd.qsf] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 alfik
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, the Altera Quartus II License Agreement,
11
# the Altera MegaCore Function License Agreement, or other
12
# applicable license agreement, including, without limitation,
13
# that your use is for the sole purpose of programming logic
14
# devices manufactured by Altera and sold by Altera or its
15
# authorized distributors.  Please refer to the applicable
16
# agreement for further details.
17
#
18
# -------------------------------------------------------------------------- #
19
#
20
# Quartus II 64-Bit
21
# Version 14.0.0 Build 200 06/17/2014 SJ Web Edition
22
# Date created = 20:57:06  August 13, 2014
23
#
24
# -------------------------------------------------------------------------- #
25
#
26
# Notes:
27
#
28
# 1) The default values for assignments are stored in the file:
29
#               sd_assignment_defaults.qdf
30
#    If this file doesn't exist, see file:
31
#               assignment_defaults.qdf
32
#
33
# 2) Altera recommends that you do not modify this file. This
34
#    file is updated automatically by the Quartus II software
35
#    and any changes you make may be lost or overwritten.
36
#
37
# -------------------------------------------------------------------------- #
38
 
39
 
40
set_global_assignment -name FAMILY "Cyclone IV E"
41
set_global_assignment -name DEVICE EP4CE115F29C7
42
set_global_assignment -name TOP_LEVEL_ENTITY sd
43
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 14.0
44
set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:57:06  AUGUST 13, 2014"
45
set_global_assignment -name LAST_QUARTUS_VERSION 14.0
46
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
47
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
48
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
49
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
50
set_global_assignment -name NOMINAL_CORE_SUPPLY_VOLTAGE 1.2V
51
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
52
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
53
 
54
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50
55
set_location_assignment PIN_Y2 -to CLOCK_50
56
 
57
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0]
58
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1]
59
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[0]
60
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[1]
61
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[2]
62
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[3]
63
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N
64
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N
65
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE
66
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK
67
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N
68
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N
69
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0]
70
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1]
71
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2]
72
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3]
73
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4]
74
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5]
75
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6]
76
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7]
77
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8]
78
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9]
79
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10]
80
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11]
81
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12]
82
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13]
83
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14]
84
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15]
85
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[16]
86
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[17]
87
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[18]
88
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[19]
89
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[20]
90
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[21]
91
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[22]
92
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[23]
93
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[24]
94
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[25]
95
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[26]
96
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[27]
97
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[28]
98
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[29]
99
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[30]
100
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[31]
101
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0]
102
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1]
103
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2]
104
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3]
105
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4]
106
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5]
107
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6]
108
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7]
109
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8]
110
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9]
111
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10]
112
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11]
113
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12]
114
set_location_assignment PIN_U1 -to DRAM_DQ[31]
115
set_location_assignment PIN_U4 -to DRAM_DQ[30]
116
set_location_assignment PIN_T3 -to DRAM_DQ[29]
117
set_location_assignment PIN_R3 -to DRAM_DQ[28]
118
set_location_assignment PIN_R2 -to DRAM_DQ[27]
119
set_location_assignment PIN_R1 -to DRAM_DQ[26]
120
set_location_assignment PIN_R7 -to DRAM_DQ[25]
121
set_location_assignment PIN_U5 -to DRAM_DQ[24]
122
set_location_assignment PIN_M8 -to DRAM_DQ[16]
123
set_location_assignment PIN_L8 -to DRAM_DQ[17]
124
set_location_assignment PIN_P2 -to DRAM_DQ[18]
125
set_location_assignment PIN_N3 -to DRAM_DQ[19]
126
set_location_assignment PIN_N4 -to DRAM_DQ[20]
127
set_location_assignment PIN_M4 -to DRAM_DQ[21]
128
set_location_assignment PIN_M7 -to DRAM_DQ[22]
129
set_location_assignment PIN_L7 -to DRAM_DQ[23]
130
set_location_assignment PIN_Y3 -to DRAM_DQ[8]
131
set_location_assignment PIN_Y4 -to DRAM_DQ[9]
132
set_location_assignment PIN_AB1 -to DRAM_DQ[10]
133
set_location_assignment PIN_AA3 -to DRAM_DQ[11]
134
set_location_assignment PIN_AB2 -to DRAM_DQ[12]
135
set_location_assignment PIN_AC1 -to DRAM_DQ[13]
136
set_location_assignment PIN_AB3 -to DRAM_DQ[14]
137
set_location_assignment PIN_AC2 -to DRAM_DQ[15]
138
set_location_assignment PIN_W3 -to DRAM_DQ[0]
139
set_location_assignment PIN_W2 -to DRAM_DQ[1]
140
set_location_assignment PIN_V4 -to DRAM_DQ[2]
141
set_location_assignment PIN_W1 -to DRAM_DQ[3]
142
set_location_assignment PIN_V3 -to DRAM_DQ[4]
143
set_location_assignment PIN_V2 -to DRAM_DQ[5]
144
set_location_assignment PIN_V1 -to DRAM_DQ[6]
145
set_location_assignment PIN_U3 -to DRAM_DQ[7]
146
set_location_assignment PIN_W4 -to DRAM_DQM[1]
147
set_location_assignment PIN_K8 -to DRAM_DQM[2]
148
set_location_assignment PIN_U2 -to DRAM_DQM[0]
149
set_location_assignment PIN_N8 -to DRAM_DQM[3]
150
set_location_assignment PIN_U6 -to DRAM_RAS_N
151
set_location_assignment PIN_V7 -to DRAM_CAS_N
152
set_location_assignment PIN_AA6 -to DRAM_CKE
153
set_location_assignment PIN_V6 -to DRAM_WE_N
154
set_location_assignment PIN_T4 -to DRAM_CS_N
155
set_location_assignment PIN_U7 -to DRAM_BA[0]
156
set_location_assignment PIN_R4 -to DRAM_BA[1]
157
set_location_assignment PIN_Y7 -to DRAM_ADDR[12]
158
set_location_assignment PIN_AA5 -to DRAM_ADDR[11]
159
set_location_assignment PIN_R5 -to DRAM_ADDR[10]
160
set_location_assignment PIN_Y6 -to DRAM_ADDR[9]
161
set_location_assignment PIN_Y5 -to DRAM_ADDR[8]
162
set_location_assignment PIN_AA7 -to DRAM_ADDR[7]
163
set_location_assignment PIN_W7 -to DRAM_ADDR[6]
164
set_location_assignment PIN_W8 -to DRAM_ADDR[5]
165
set_location_assignment PIN_V5 -to DRAM_ADDR[4]
166
set_location_assignment PIN_R6 -to DRAM_ADDR[0]
167
set_location_assignment PIN_V8 -to DRAM_ADDR[1]
168
set_location_assignment PIN_U8 -to DRAM_ADDR[2]
169
set_location_assignment PIN_P1 -to DRAM_ADDR[3]
170
set_location_assignment PIN_AE5 -to DRAM_CLK
171
 
172
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CMD
173
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CLK
174
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_WP_N
175
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[0]
176
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[1]
177
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[2]
178
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[3]
179
 
180
set_location_assignment PIN_AE14 -to SD_DAT[0]
181
set_location_assignment PIN_AF13 -to SD_DAT[1]
182
set_location_assignment PIN_AB14 -to SD_DAT[2]
183
set_location_assignment PIN_AC14 -to SD_DAT[3]
184
set_location_assignment PIN_AE13 -to SD_CLK
185
set_location_assignment PIN_AD14 -to SD_CMD
186
set_location_assignment PIN_AF14 -to SD_WP_N
187
 
188
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
189
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
190
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
191
set_global_assignment -name ENABLE_SIGNALTAP OFF
192
set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp
193
set_global_assignment -name QIP_FILE system/synthesis/system.qip
194
set_global_assignment -name VERILOG_FILE sd.v
195
set_global_assignment -name QIP_FILE pll/pll.qip
196
set_global_assignment -name SDC_FILE sd.sdc
197
set_global_assignment -name CDF_FILE output_files/Chain1.cdf
198
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.