OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [ANN_kernel/] [RTL_VHDL_files/] [shiftreg_pu.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ojosynariz
----------------------------------------------------------------------------------
2
-- Company:
3
-- Engineer:
4
--
5
-- Create Date:    18:03:58 05/14/2014
6
-- Design Name:    Configurable ANN
7
-- Module Name:    shiftreg_pu - Behavioral
8
-- Project Name:
9
-- Target Devices:
10
-- Tool versions:
11
-- Description: Shift register with parallel unload.
12
--
13
-- Dependencies:
14
--
15
-- Revision:
16
-- Revision 0.01 - File Created
17
-- Additional Comments:
18
--
19
----------------------------------------------------------------------------------
20
library IEEE;
21
use IEEE.STD_LOGIC_1164.ALL;
22
 
23
 
24
entity shiftreg_pu is
25
   generic
26
   (
27
      Nreg : natural := 64;  ---- Number of elements
28
      Nbit : natural := 8    ---- Bit width
29
   );
30
 
31
   port
32
   (
33
      -- Input ports
34
      reset   : in  std_logic;
35
      clk     : in  std_logic;
36
      run_in  : in  std_logic; -- Start and input data validation
37
      inputs  : in  std_logic_vector(Nbit-1 downto 0); -- Input data (serial)
38
      -- Output ports
39
      run_out : out std_logic; -- Output data validation, run_in for the next layer
40
      outputs : out std_logic_vector((Nbit*Nreg)-1 downto 0) -- Output data (parallel)
41
   );
42
end shiftreg_pu;
43
 
44
architecture Behavioral of shiftreg_pu is
45
 
46
   signal count : integer range 0 to Nreg-1;
47
   signal en_r : std_logic;    --- Shift register enable
48
   signal unload : std_logic;   -- Unload signal to unload the shift register onto the output register
49
   type dreg_type is array (Nreg-1 downto 0) of std_logic_vector(Nbit-1 downto 0); -- Shift register type
50
   signal dreg : dreg_type;   ---- Shift register
51
   type reg_st_type is (idle, counting); -- Register state type
52
   signal reg_st : reg_st_type; -- Register state
53
 
54
begin
55
 
56
-- Shift register with parallel unload:
57
   process (clk)
58
   begin
59
      if clk'event and clk = '1' then
60
         if reset = '1' then
61
            dreg <= (others=> (others => '0'));
62
         else
63
            if en_r = '1' then -- Shift register enable
64
               dreg(Nreg-1) <= inputs; -- Every cycle a new input data is loaded
65
               if count /= 0 then -- When count = 0, shift register is unloaded; other cycles, register is shifted
66
                  shift:
67
                  for i in 1 to Nreg-1 loop
68
                     dreg(i-1) <= dreg(i);
69
                  end loop;
70
               end if;
71
            end if;
72
         end if;
73
      end if;
74
   end process;
75
 
76
   process (clk) -- Output register to mantain constant output the data for pipeline
77
   begin
78
      if clk'event and clk = '1' then
79
         if reset = '1' then
80
            outputs <= (others=> '0');
81
         else
82
            if unload = '1' then -- Parallel unload
83
               for i in 0 to Nreg-1 loop
84
                  outputs((Nbit*(i+1))-1 downto Nbit*i) <= dreg(i);
85
               end loop;
86
            end if;
87
         end if;
88
      end if;
89
   end process;
90
 
91
-- Shift register control
92
   process (clk)
93
   begin
94
      if clk'event and clk = '1' then
95
         if reset = '1' then
96
            count <= 0;
97
            reg_st <= idle;
98
            run_out <= '0';
99
            unload <= '0';
100
         else
101
            run_out <= unload;
102
            case reg_st is
103
               when idle =>
104
                  if run_in = '1' then
105
                     reg_st <= counting;
106
                  else
107
                     reg_st <= idle;
108
                  end if;
109
               when counting =>
110
                  if count = (Nreg-1) then
111
                     reg_st <= idle;
112
                     count <= 0;
113
                     unload <= '1';
114
                  else
115
                     reg_st <= counting;
116
                     count <= count +1;
117
                  end if;
118
            end case;
119
         end if;
120
      end if;
121
   end process;
122
   process (reg_st)
123
   begin
124
      if reg_st = counting then
125
         en_r <= '1';
126
      else
127
         en_r <= '0';
128
      end if;
129
   end process;
130
 
131
end Behavioral;
132
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.