OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [ANN_kernel/] [RTL_VHDL_files/] [wb_init.vhd] - Blame information for rev 10

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 jstefanowi
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
library work;
5
use work.support_pkg.all;
6
use work.layers_pkg.all;
7
package wb_init is
8
  type ramd_type is array (3 downto 0) of std_logic_vector(NbitW-1 downto 0);
9
  type layer_ram is array (3 downto 0) of ramd_type;
10
  type w_ram  is array (integer range <>) of layer_ram;
11
  type b_type is array (integer range <>) of ramd_type;
12
  constant w_init : w_ram :=
13
  (
14
 
15
 
16
 
17
        1 => real2stdlv(NbitW,-2.6600),
18
        others =>(others => '0')
19
      ),
20
      others=>(others =>(others => '0'))
21
    ),
22
    1 => (
23
 
24
 
25
        1 => real2stdlv(NbitW,-1.5274),
26
        2 => real2stdlv(NbitW,-8.4909),
27
        others =>(others => '0')
28
      ),
29
      1 => (
30
 
31
        1 => real2stdlv(NbitW,0.7244),
32
        2 => real2stdlv(NbitW,3.8977),
33
        others =>(others => '0')
34
      ),
35
      others=>(others =>(others => '0'))
36
    ),
37
    2 => (
38
 
39
 
40
        others =>(others => '0')
41
      ),
42
      1 => (
43
 
44
        others =>(others => '0')
45
      ),
46
      2 => (
47
 
48
        others =>(others => '0')
49
      ),
50
      others=>(others =>(others => '0'))
51
    )
52
  );
53
 
54
  constant b_init : b_type :=
55
  (
56
 
57
 
58
      1 => real2stdlv(NbitW,(2.0**LSB_OUT)*(0.7149)),
59
      others =>(others => '0')
60
    ),
61
    1 => (
62
 
63
      1 => real2stdlv(NbitW,(2.0**LSB_OUT)*(0.3690)),
64
      2 => real2stdlv(NbitW,(2.0**LSB_OUT)*(2.4685)),
65
      others =>(others => '0')
66
    ),
67
    2 => (
68
 
69
      others =>(others => '0')
70
    )
71
  );
72
end wb_init;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.