OpenCores
URL https://opencores.org/ocsvn/artificial_neural_network/artificial_neural_network/trunk

Subversion Repositories artificial_neural_network

[/] [artificial_neural_network/] [trunk/] [wrapper_Vivado/] [VHDL_files/] [ann_v2_0_Inputs_S_AXIS.vhd] - Blame information for rev 3

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 ojosynariz
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.numeric_std.all;
4
 
5
entity ann_v2_0_Inputs_S_AXIS is
6
        generic (
7
                -- Users to add parameters here
8
      RD_WIDTH : natural := 8;
9
                -- User parameters ends
10
                -- Do not modify the parameters beyond this line
11
 
12
                -- AXI4Stream sink: Data Width
13
                C_S_AXIS_TDATA_WIDTH    : integer       := 32
14
        );
15
        port (
16
                -- Users to add ports here
17
      fifo_rd : out std_logic;
18
      fifo_rdata : out std_logic_vector(RD_WIDTH-1 downto 0);
19
                -- User ports ends
20
                -- Do not modify the ports beyond this line
21
 
22
                -- AXI4Stream sink: Clock
23
                S_AXIS_ACLK     : in std_logic;
24
                -- AXI4Stream sink: Reset
25
                S_AXIS_ARESETN  : in std_logic;
26
                -- Ready to accept data in
27
                S_AXIS_TREADY   : out std_logic;
28
                -- Data in
29
                S_AXIS_TDATA    : in std_logic_vector(C_S_AXIS_TDATA_WIDTH-1 downto 0);
30
                -- Byte qualifier
31
                S_AXIS_TSTRB    : in std_logic_vector((C_S_AXIS_TDATA_WIDTH/8)-1 downto 0);
32
                -- Indicates boundary of last packet
33
                S_AXIS_TLAST    : in std_logic;
34
                -- Data is in valid
35
                S_AXIS_TVALID   : in std_logic
36
        );
37
end ann_v2_0_Inputs_S_AXIS;
38
 
39
architecture arch_imp of ann_v2_0_Inputs_S_AXIS is
40
 
41
begin
42
   -- I/O Connections assignments
43
   --fifo_rdata <= S_AXIS_TDATA(RD_WIDTH-1 downto 0);
44
   S_AXIS_TREADY <= '1'; -- Se podrķa esperar a que se cargasen todos los pesos, pero prefiero que sea el SW el que se encargue de asegurarlo.
45
   --fifo_rd <= S_AXIS_TVALID;
46
 
47
   process (S_AXIS_ACLK) -- Register inputs, mey be not necesary.
48
   begin
49
     if ( rising_edge(S_AXIS_ACLK) ) then
50
       if ( S_AXIS_ARESETN = '0' ) then
51
         --S_AXIS_TREADY <= '0';
52
         fifo_rd <= '0';
53
         fifo_rdata <= (others => '0');
54
       else
55
         fifo_rdata <= S_AXIS_TDATA(RD_WIDTH-1 downto 0);
56
         fifo_rd <= S_AXIS_TVALID;
57
       end if;
58
     end if;
59
   end process;
60
 
61
end arch_imp;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.