OpenCores
URL https://opencores.org/ocsvn/cpu_lecture/cpu_lecture/trunk

Subversion Repositories cpu_lecture

[/] [cpu_lecture/] [trunk/] [html/] [29_Listing_of_test_tb.vhd.html] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jsauermann
<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.01//EN"
2
"http://www.w3.org/TR/html4/strict.dtd">
3
<HTML>
4
<HEAD>
5
<TITLE>html/Listing_of_test_tb.vhd</TITLE>
6
<META NAME="generator" CONTENT="HTML::TextToHTML v2.46">
7
<LINK REL="stylesheet" TYPE="text/css" HREF="lecture.css">
8
</HEAD>
9
<BODY>
10
<P><table class="ttop"><th class="tpre"><a href="28_Listing_of_RAMB4_S4_S4.vhd.html">Previous Lesson</a></th><th class="ttop"><a href="toc.html">Table of Content</a></th><th class="tnxt"><a href="30_Listing_of_avr_fpga.ucf.html">Next Lesson</a></th></table>
11
<hr>
12
 
13
<H1><A NAME="section_1">29 LISTING OF test_tb.vhd</A></H1>
14
 
15
<pre class="vhdl">
16
 
17
  1     -------------------------------------------------------------------------------
18
  2     --
19
  3     -- Copyright (C) 2009, 2010 Dr. Juergen Sauermann
20
  4     --
21
  5     --  This code is free software: you can redistribute it and/or modify
22
  6     --  it under the terms of the GNU General Public License as published by
23
  7     --  the Free Software Foundation, either version 3 of the License, or
24
  8     --  (at your option) any later version.
25
  9     --
26
 10     --  This code is distributed in the hope that it will be useful,
27
 11     --  but WITHOUT ANY WARRANTY; without even the implied warranty of
28
 12     --  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
29
 13     --  GNU General Public License for more details.
30
 14     --
31
 15     --  You should have received a copy of the GNU General Public License
32
 16     --  along with this code (see the file named COPYING).
33
 17     --  If not, see http://www.gnu.org/licenses/.
34
 18     --
35
 19     -------------------------------------------------------------------------------
36
 20     -------------------------------------------------------------------------------
37
 21     --
38
 22     -- Module Name:    alu - Behavioral
39
 23     -- Create Date:    16:47:24 12/29/2009
40
 24     -- Description:    arithmetic logic unit of a CPU
41
 25     --
42
 26     -------------------------------------------------------------------------------
43
 27     --
44
 28     library IEEE;
45
 29     use IEEE.STD_LOGIC_1164.ALL;
46
 30     use IEEE.STD_LOGIC_ARITH.ALL;
47
 31     use IEEE.STD_LOGIC_UNSIGNED.ALL;
48
 32
49
 33     entity testbench is
50
 34     end testbench;
51
 35
52
 36     architecture Behavioral of testbench is
53
 37
54
 38     component avr_fpga
55
 39         port (  I_CLK_100   : in  std_logic;
56
 40                 I_SWITCH    : in  std_logic_vector(9 downto 0);
57
 41                 I_RX        : in  std_logic;
58
 42
59
 43                 Q_7_SEGMENT : out std_logic_vector(6 downto 0);
60
 44                 Q_LEDS      : out std_logic_vector(3 downto 0);
61
 45                 Q_TX        : out std_logic);
62
 46     end component;
63
 47
64
 48     signal L_CLK_100            : std_logic;
65
 49     signal L_LEDS               : std_logic_vector(3 downto 0);
66
 50     signal L_7_SEGMENT          : std_logic_vector(6 downto 0);
67
 51     signal L_RX                 : std_logic;
68
 52     signal L_SWITCH             : std_logic_vector(9 downto 0);
69
 53     signal L_TX                 : std_logic;
70
 54
71
 55     signal  L_CLK_COUNT         : integer := 0;
72
 56
73
 57     begin
74
 58
75
 59         fpga: avr_fpga
76
 60         port map(   I_CLK_100   => L_CLK_100,
77
 61                     I_SWITCH    => L_SWITCH,
78
 62                     I_RX        => L_RX,
79
 63
80
 64                     Q_LEDS      => L_LEDS,
81
 65                     Q_7_SEGMENT => L_7_SEGMENT,
82
 66                     Q_TX        => L_TX);
83
 67
84
 68         process -- clock process for CLK_100,
85
 69         begin
86
 70             clock_loop : loop
87
 71                 L_CLK_100 <= transport '0';
88
 72                 wait for 5 ns;
89
 73
90
 74                 L_CLK_100 <= transport '1';
91
 75                 wait for 5 ns;
92
 76             end loop clock_loop;
93
 77         end process;
94
 78
95
 79         process(L_CLK_100)
96
 80         begin
97
 81             if (rising_edge(L_CLK_100)) then
98
 82                 case L_CLK_COUNT is
99
 83                     when 0 => L_SWITCH <= "0011100000";   L_RX <= '0';
100
 84                     when 2 => L_SWITCH(9 downto 8) <= "11";
101
 85                     when others =>
102
 86                 end case;
103
 87                 L_CLK_COUNT <= L_CLK_COUNT + 1;
104
 88             end if;
105
 89         end process;
106
 90     end Behavioral;
107
 91
108
<pre class="filename">
109
test/test_tb.vhd
110
</pre></pre>
111
<P>
112
 
113
<P><hr><BR>
114
<table class="ttop"><th class="tpre"><a href="28_Listing_of_RAMB4_S4_S4.vhd.html">Previous Lesson</a></th><th class="ttop"><a href="toc.html">Table of Content</a></th><th class="tnxt"><a href="30_Listing_of_avr_fpga.ucf.html">Next Lesson</a></th></table>
115
</BODY>
116
</HTML>

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.