OpenCores
URL https://opencores.org/ocsvn/dma_axi/dma_axi/trunk

Subversion Repositories dma_axi

[/] [dma_axi/] [trunk/] [src/] [dma_axi32/] [prgen_fifo.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 eyalhoc
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  Author: Eyal Hochberg                                      ////
4
////          eyal@provartec.com                                 ////
5
////                                                             ////
6
////  Downloaded from: http://www.opencores.org                  ////
7
/////////////////////////////////////////////////////////////////////
8
////                                                             ////
9
//// Copyright (C) 2010 Provartec LTD                            ////
10
//// www.provartec.com                                           ////
11
//// info@provartec.com                                          ////
12
////                                                             ////
13
//// This source file may be used and distributed without        ////
14
//// restriction provided that this copyright statement is not   ////
15
//// removed from the file and that any derivative work contains ////
16
//// the original copyright notice and the associated disclaimer.////
17
////                                                             ////
18
//// This source file is free software; you can redistribute it  ////
19
//// and/or modify it under the terms of the GNU Lesser General  ////
20
//// Public License as published by the Free Software Foundation.////
21
////                                                             ////
22
//// This source is distributed in the hope that it will be      ////
23
//// useful, but WITHOUT ANY WARRANTY; without even the implied  ////
24
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR     ////
25
//// PURPOSE.  See the GNU Lesser General Public License for more////
26
//// details. http://www.gnu.org/licenses/lgpl.html              ////
27
////                                                             ////
28
/////////////////////////////////////////////////////////////////////
29 2 eyalhoc
//---------------------------------------------------------
30
//-- File generated by RobustVerilog parser
31
//-- Version: 1.0
32
//-- Invoked Fri Mar 25 23:34:51 2011
33
//--
34
//-- Source file: prgen_fifo.v
35
//---------------------------------------------------------
36
 
37
 
38
 
39
module prgen_fifo(clk,reset,push,pop,din,dout,empty,full);
40
 
41
   parameter                  WIDTH      = 8;
42
   parameter                  DEPTH_FULL = 8;
43
 
44
   parameter               SINGLE     = DEPTH_FULL == 1;
45
   parameter               DEPTH      = SINGLE ? 1 : DEPTH_FULL -1;
46
   parameter               DEPTH_BITS =
47
                  (DEPTH <= 2)   ? 1 :
48
                  (DEPTH <= 4)   ? 2 :
49
                  (DEPTH <= 8)   ? 3 :
50
                  (DEPTH <= 16)  ? 4 :
51
                  (DEPTH <= 32)  ? 5 :
52
                  (DEPTH <= 64)  ? 6 :
53
                  (DEPTH <= 128) ? 7 :
54
                  (DEPTH <= 256) ? 8 : 0; //0 is ilegal
55
 
56
   parameter               LAST_LINE  = DEPTH-1;
57
 
58
 
59
 
60
   input                      clk;
61
   input                      reset;
62
 
63
   input               push;
64
   input               pop;
65
   input [WIDTH-1:0]           din;
66
   output [WIDTH-1:0]           dout;
67
   //output               next;
68
   output               empty;
69
   output               full;
70
 
71
 
72
   wire               reg_push;
73
   wire               reg_pop;
74
   wire               fifo_push;
75
   wire               fifo_pop;
76
 
77
   reg [DEPTH-1:0]           fullness_in;
78
   reg [DEPTH-1:0]           fullness_out;
79
   reg [DEPTH-1:0]           fullness;
80
   reg [WIDTH-1:0]           fifo [DEPTH-1:0];
81
   wire               fifo_empty;
82
   wire               next;
83
   reg [WIDTH-1:0]           dout;
84
   reg                   dout_empty;
85
   reg [DEPTH_BITS-1:0]       ptr_in;
86
   reg [DEPTH_BITS-1:0]       ptr_out;
87
 
88
 
89
 
90
 
91
   assign               reg_push  = push & fifo_empty & (dout_empty | pop);
92
   assign               reg_pop   = pop & fifo_empty;
93
   assign               fifo_push = !SINGLE & push & (~reg_push);
94
   assign               fifo_pop  = !SINGLE & pop & (~reg_pop);
95
 
96
 
97
   always @(posedge clk or posedge reset)
98
     if (reset)
99
       begin
100
      dout       <= #1 {WIDTH{1'b0}};
101
      dout_empty <= #1 1'b1;
102
       end
103
     else if (reg_push)
104
       begin
105
      dout       <= #1 din;
106
      dout_empty <= #1 1'b0;
107
       end
108
     else if (reg_pop)
109
       begin
110
      dout       <= #1 {WIDTH{1'b0}};
111
      dout_empty <= #1 1'b1;
112
       end
113
     else if (fifo_pop)
114
       begin
115
      dout       <= #1 fifo[ptr_out];
116
      dout_empty <= #1 1'b0;
117
       end
118
 
119
   always @(posedge clk or posedge reset)
120
     if (reset)
121
       ptr_in <= #1 {DEPTH_BITS{1'b0}};
122
     else if (fifo_push)
123
       ptr_in <= #1 ptr_in == LAST_LINE ? 0 : ptr_in + 1'b1;
124
 
125
   always @(posedge clk or posedge reset)
126
     if (reset)
127
       ptr_out <= #1 {DEPTH_BITS{1'b0}};
128
     else if (fifo_pop)
129
       ptr_out <= #1 ptr_out == LAST_LINE ? 0 : ptr_out + 1'b1;
130
 
131
   always @(posedge clk)
132
     if (fifo_push)
133
       fifo[ptr_in] <= #1 din;
134
 
135
 
136
   always @(/*AUTOSENSE*/fifo_push or ptr_in)
137
     begin
138
    fullness_in = {DEPTH{1'b0}};
139
    fullness_in[ptr_in] = fifo_push;
140
     end
141
 
142
   always @(/*AUTOSENSE*/fifo_pop or ptr_out)
143
     begin
144
    fullness_out = {DEPTH{1'b0}};
145
    fullness_out[ptr_out] = fifo_pop;
146
     end
147
 
148
   always @(posedge clk or posedge reset)
149
     if (reset)
150
       fullness <= #1 {DEPTH{1'b0}};
151
     else if (fifo_push | fifo_pop)
152
       fullness <= #1 (fullness & (~fullness_out)) | fullness_in;
153
 
154
 
155
   assign next       = |fullness;
156
   assign fifo_empty = ~next;
157
   assign empty      = fifo_empty & dout_empty;
158
   assign full       = SINGLE ? !dout_empty : &fullness;
159
 
160
 
161
 
162
 
163
endmodule
164
 
165
 
166
 
167
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.