OpenCores
URL https://opencores.org/ocsvn/dma_axi/dma_axi/trunk

Subversion Repositories dma_axi

[/] [dma_axi/] [trunk/] [src/] [dma_axi64/] [dma_axi64_core0_arbiter.v] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 eyalhoc
/////////////////////////////////////////////////////////////////////
2
////                                                             ////
3
////  Author: Eyal Hochberg                                      ////
4
////          eyal@provartec.com                                 ////
5
////                                                             ////
6
////  Downloaded from: http://www.opencores.org                  ////
7
/////////////////////////////////////////////////////////////////////
8
////                                                             ////
9
//// Copyright (C) 2010 Provartec LTD                            ////
10
//// www.provartec.com                                           ////
11
//// info@provartec.com                                          ////
12
////                                                             ////
13
//// This source file may be used and distributed without        ////
14
//// restriction provided that this copyright statement is not   ////
15
//// removed from the file and that any derivative work contains ////
16
//// the original copyright notice and the associated disclaimer.////
17
////                                                             ////
18
//// This source file is free software; you can redistribute it  ////
19
//// and/or modify it under the terms of the GNU Lesser General  ////
20
//// Public License as published by the Free Software Foundation.////
21
////                                                             ////
22
//// This source is distributed in the hope that it will be      ////
23
//// useful, but WITHOUT ANY WARRANTY; without even the implied  ////
24
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR     ////
25
//// PURPOSE.  See the GNU Lesser General Public License for more////
26
//// details. http://www.gnu.org/licenses/lgpl.html              ////
27
////                                                             ////
28
/////////////////////////////////////////////////////////////////////
29 2 eyalhoc
//---------------------------------------------------------
30
//-- File generated by RobustVerilog parser
31
//-- Version: 1.0
32
//-- Invoked Fri Mar 25 23:36:54 2011
33
//--
34
//-- Source file: dma_core_arbiter.v
35
//---------------------------------------------------------
36
 
37
 
38
 
39
module dma_axi64_core0_arbiter(clk,reset,enable,joint_mode,page_cross,joint_req,prio_top,prio_high,prio_top_num,prio_high_num,hold,ch_ready,ch_active,finish,ch_go_out,ch_num,ch_last);
40
 
41
   parameter                CH_LAST       = 1-1;
42
 
43
   input             clk;
44
   input             reset;
45
 
46
   input             enable;
47
 
48
   input             joint_mode;
49
   input             page_cross;
50
   input             joint_req;
51
   input             prio_top;
52
   input             prio_high;
53
   input [2:0]             prio_top_num;
54
   input [2:0]             prio_high_num;
55
   input             hold;
56
 
57
   input [7:0]             ch_ready;
58
   input [7:0]             ch_active;
59
   input             finish;
60
   output             ch_go_out;
61
   output [2:0]         ch_num;
62
   output             ch_last;
63
 
64
 
65
 
66
   reg [7:0]             current_active;
67
   wire             current_ready_only;
68
   wire             ch_last_pre;
69
   wire             ch_last;
70
   wire             ready;
71
   wire             next_ready;
72
   wire             next_ready0;
73
   wire             next_ready1;
74
   wire             prio_top_ready;
75
   wire             prio_high_ready;
76
   reg                 in_prog;
77
   wire             ch_go_pre;
78
   wire             ch_go_pre_d;
79
   wire             ch_go_top_pre;
80
   wire             ch_go_high_pre;
81
   wire             ch_go;
82
   wire             ch_go_d;
83
   wire             ch_go_top;
84
   wire             ch_go_high;
85
   wire             ch_go_next;
86
   wire             hold_d;
87
   wire             advance_next;
88
   wire [2:0]             ch_num_pre;
89
   wire [3:0]             next_ch_num0_pre;
90
   wire [3:0]             next_ch_num0_pre2;
91
   wire [2:0]             next_ch_num0;
92
   wire [3:0]             next_ch_num1_pre;
93
   wire [3:0]             next_ch_num1_pre2;
94
   wire [2:0]             next_ch_num1;
95
   wire [2:0]             next_ch_num_pre;
96
 
97
   assign             ch_go_out = 'd1;
98
   assign             ch_num    = 'd0;
99
   assign             ch_last   = 'd1;
100
 
101
 
102
 
103
endmodule
104
 
105
 
106
 
107
 
108
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.