OpenCores
URL https://opencores.org/ocsvn/dp_repack_data/dp_repack_data/trunk

Subversion Repositories dp_repack_data

[/] [dp_repack_data/] [trunk/] [tb_tb_dp_repack_data.vhd] - Blame information for rev 5

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 danv
-------------------------------------------------------------------------------
2
--
3 5 danv
-- Copyright 2020
4 2 danv
-- ASTRON (Netherlands Institute for Radio Astronomy) <http://www.astron.nl/>
5
-- P.O.Box 2, 7990 AA Dwingeloo, The Netherlands
6 5 danv
-- 
7
-- Licensed under the Apache License, Version 2.0 (the "License");
8
-- you may not use this file except in compliance with the License.
9
-- You may obtain a copy of the License at
10
-- 
11
--     http://www.apache.org/licenses/LICENSE-2.0
12
-- 
13
-- Unless required by applicable law or agreed to in writing, software
14
-- distributed under the License is distributed on an "AS IS" BASIS,
15
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
16
-- See the License for the specific language governing permissions and
17
-- limitations under the License.
18 2 danv
--
19
-------------------------------------------------------------------------------
20
 
21
LIBRARY IEEE, common_pkg_lib, dp_pkg_lib;
22
USE IEEE.std_logic_1164.ALL;
23
USE common_pkg_lib.common_pkg.ALL;
24
USE dp_pkg_lib.tb_dp_pkg.ALL;
25
 
26
-- Purpose: Verify multiple variations of tb_dp_repack_data
27
-- Description:
28
-- Usage:
29
-- > as 6
30
-- > run -all
31
 
32
ENTITY tb_tb_dp_repack_data IS
33
END tb_tb_dp_repack_data;
34
 
35
 
36
ARCHITECTURE tb OF tb_tb_dp_repack_data IS
37
 
38
  CONSTANT c_nof_repeat  : NATURAL := 5;
39
 
40
  CONSTANT c_flow        : t_dp_flow_control_enum_arr := c_dp_flow_control_enum_arr;
41
  CONSTANT c_bool        : t_nat_boolean_arr := c_nat_boolean_arr;
42
 
43
  SIGNAL tb_end : STD_LOGIC := '0';  -- declare tb_end to avoid 'No objects found' error on 'when -label tb_end'
44
 
45
BEGIN
46
 
47
  -- -- general
48
  -- g_flow_control_stimuli   : t_dp_flow_control_enum := e_active;  -- always e_active, e_random or e_pulse flow control
49
  -- g_flow_control_verify    : t_dp_flow_control_enum := e_active;  -- always e_active, e_random or e_pulse flow control
50
  -- -- specific
51
  -- g_in_dat_w               : NATURAL := 5;
52
  -- g_in_nof_words           : NATURAL := 2;
53
  -- g_pack_dat_w             : NATURAL := 16;
54
  -- g_pack_nof_words         : NATURAL := 1;
55
  -- g_in_bypass              : BOOLEAN := FALSE;  -- can use TRUE when g_in_nof_words=1  or g_in_nof_words=g_out_nof_words
56
  -- g_pack_bypass            : BOOLEAN := FALSE;  -- can use TRUE when g_out_nof_words=1 or g_in_nof_words=g_out_nof_words
57
  -- g_in_symbol_w            : NATURAL := 1;      -- default 1 for snk_in.empty  in nof bits, else use power of 2
58
  -- g_pack_symbol_w          : NATURAL := 1;      -- default 1 for src_out.empty in nof bits, else use power of 2
59
  -- g_nof_repeat             : NATURAL := 10;
60
  -- g_pkt_len                : NATURAL := 11;     -- if not a multiple of g_in_nof_words then the input stage flush creates gap between blocks
61
  -- g_pkt_gap                : NATURAL := 0
62
 
63
  g_flow_control_stimuli : FOR I IN 0 TO 2 GENERATE    -- 0 = e_active, 1 = e_random, 2 = e_pulse
64
    g_flow_control_verify : FOR J IN 0 TO 2 GENERATE    -- 0 = e_active, 1 = e_random, 2 = e_pulse
65
 
66
      -------------------------------------------------------------------------
67
      -- Tests that can use bypass
68
      -- . g_in_nof_words = 1
69
      -- . g_out_nof_words = 1
70
      -- . g_in_nof_words = g_in_nof_words >= 1
71
      -------------------------------------------------------------------------
72
 
73
      gen_bool_bypass : FOR K IN 0 TO 1 GENERATE
74
        gen_bool_bypass : FOR L IN 0 TO 1 GENERATE
75
          -- no repack, g_in_nof_words = g_out_nof_words = 1
76
          u_16_1_16_1_len_10_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 16, 1, 16, 1, c_bool(K), c_bool(L), 1, 1, c_nof_repeat, 10, 0);  -- g_pkt_len > g_in_nof_words
77
          u_16_1_16_1_len_3_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 16, 1, 16, 1, c_bool(K), c_bool(L), 1, 1, c_nof_repeat,  3, 0);  -- g_pkt_len > g_in_nof_words, odd
78
          u_16_1_16_1_len_2_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 16, 1, 16, 1, c_bool(K), c_bool(L), 1, 1, c_nof_repeat,  2, 0);  -- g_pkt_len > g_in_nof_words, even
79
          u_16_1_16_1_len_1_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 16, 1, 16, 1, c_bool(K), c_bool(L), 1, 1, c_nof_repeat,  1, 0);  -- g_pkt_len = g_in_nof_words
80
 
81
          u_16_1_16_1_len_1_gap_1    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 16, 1, 16, 1, c_bool(K), c_bool(L), 1, 1, c_nof_repeat,  1, 1);  -- g_pkt_gap > 0
82
        END GENERATE;
83
 
84
        -- no repack, g_in_nof_words = g_out_nof_words > 1
85
        u_16_3_16_3_len_10_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  16, 3, 16, 3, c_bool(K), c_bool(K), 1, 1, c_nof_repeat, 10,  0);
86
 
87
        -- g_in_nof_words > g_pack_nof_words can use always active stimuli except when g_pkt_len MOD g_in_nof_words /= 0, because then the input stage needs to flush
88
        u_8_4_32_1_len_1_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat,  1,  0);  -- g_pkt_len < g_in_nof_words
89
        u_8_4_32_1_len_2_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat,  2,  0);  -- g_pkt_len = g_in_nof_words
90
        u_8_4_32_1_len_3_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat,  3,  0);  -- g_pkt_len > g_in_nof_words, MOD /= 0
91
        u_8_4_32_1_len_10_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat, 10,  0);  -- g_pkt_len > g_in_nof_words, MOD /= 0
92
        u_8_4_32_1_len_11_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat, 11,  0);  -- g_pkt_len > g_in_nof_words, MOD /= 0
93
        u_8_4_32_1_len_12_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat, 12,  0);  -- g_pkt_len > g_in_nof_words, MOD = 0
94
 
95
        u_8_4_32_1_len_12_gap_2    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 4, 32, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat, 12,  2);  -- g_pkt_gap > 0
96
 
97
        -- g_in_nof_words < g_pack_nof_words will apply backpressure, because the output stage needs to output more
98
        u_32_1_8_4_len_1_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 32, 1, 8, 4, c_bool(K), FALSE, 1, 1, c_nof_repeat,  1,  0);  -- g_pkt_len = g_in_nof_words
99
        u_32_1_8_4_len_2_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 32, 1, 8, 4, c_bool(K), FALSE, 1, 1, c_nof_repeat,  2,  0);  -- g_pkt_len > g_in_nof_words
100
        u_32_1_8_4_len_3_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 32, 1, 8, 4, c_bool(K), FALSE, 1, 1, c_nof_repeat,  3,  0);  -- g_pkt_len > g_in_nof_words
101
        u_32_1_8_4_len_10_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 32, 1, 8, 4, c_bool(K), FALSE, 1, 1, c_nof_repeat, 10,  0);  -- g_pkt_len > g_in_nof_words
102
 
103
        u_32_1_8_4_len_11_gap_1    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 32, 1, 8, 4, c_bool(K), FALSE, 1, 1, c_nof_repeat, 11,  1);  -- g_pkt_gap > 0
104
 
105
        -- g_in_dat_w MOD 8 /= 0, g_in_nof_words=1
106
        u_14_1_8_2_len_10_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 14, 1, 8, 2, c_bool(K), FALSE, 1, 1, c_nof_repeat, 10,  0);  -- repack with subsection padding, even multiple of g_in_nof_words
107
        u_14_1_8_2_len_11_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 14, 1, 8, 2, c_bool(K), FALSE, 1, 1, c_nof_repeat, 11,  0);  -- repack with subsection padding, odd multiple of g_in_nof_words
108
 
109
        -- g_in_dat_w MOD 8 /= 0, g_out_nof_words=1
110
        u_5_2_16_1_len_10_gap_0  : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 5, 2, 16, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat, 10,  0);  -- repack with subsection padding, integer multiple of g_in_nof_words
111
        u_5_2_16_1_len_11_gap_0  : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 5, 2, 16, 1, FALSE, c_bool(K), 1, 1, c_nof_repeat, 11,  0);  -- repack with subsection padding, fractional multiple of g_in_nof_words
112
 
113
        -- g_in_nof_words=1, g_pack_nof_words>1
114
        u_8_1_4_2_len_10_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   8, 1,  4,  2, c_bool(K), FALSE, 1, 1, c_nof_repeat, 10,  0);
115
        u_512_1_32_16_len_1_gap_20 : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 512, 1, 32, 16, c_bool(K), FALSE, 1, 1, c_nof_repeat,  1, 20);  -- pack a larger header slv into g_pack_dat_w words
116
 
117
        -- serialize to and deserialize from g_pack_dat_w=1 bit
118
        u_8_1_1_8_len_10_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  8, 1, 1,  8, c_bool(K), FALSE, 1, 1, c_nof_repeat, 10,  0);  -- g_pack_dat_w=1
119
        u_32_1_1_32_len_10_gap_7   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 32, 1, 1, 32, c_bool(K), FALSE, 1, 1, c_nof_repeat, 10,  7);  -- g_pack_dat_w=1
120
 
121
        -- g_in_symbol_w /= 1, g_out_symbol_w /= 1
122
        u_20_1_8_3_symbol_1_4_len_10_gap_0  : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 20, 1, 8, 3, c_bool(K), FALSE, 1, 4, c_nof_repeat, 10, 0);  -- no repack
123
        u_20_1_8_3_symbol_4_1_len_10_gap_0  : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 20, 1, 8, 3, c_bool(K), FALSE, 4, 1, c_nof_repeat, 10, 0);  -- no repack
124
        u_20_1_8_3_symbol_4_4_len_10_gap_0  : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 20, 1, 8, 3, c_bool(K), FALSE, 4, 4, c_nof_repeat, 10, 0);  -- no repack
125
 
126
        -- pack ETH/IP/UDP header, g_in_symbol_w = 8, g_out_symbol_w = 8
127
        u_336_1_32_11_symbol_8_8_len_1_gap_0  : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 336, 1, 32, 11, c_bool(K), FALSE, 8, 8, c_nof_repeat, 1, 0);  --pack to 32 bit --> empty = 2
128
        u_336_1_64_6_symbol_8_8_len_1_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J), 336, 1, 64,  6, c_bool(K), FALSE, 8, 8, c_nof_repeat, 1, 0);  --pack to 64 bit --> empty = 6
129
      END GENERATE;
130
 
131
      -------------------------------------------------------------------------
132
      -- Tests that cannot use bypass
133
      -------------------------------------------------------------------------
134
 
135
      -- g_in_nof_words > 1 and g_pack_nof_words > 1
136
      u_24_2_16_3_len_1_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  24, 2, 16, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  1, 0);  -- g_pkt_len < g_in_nof_words
137
      u_24_2_16_3_len_2_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  24, 2, 16, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  2, 0);  -- g_pkt_len = g_in_nof_words
138
      u_24_2_16_3_len_3_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  24, 2, 16, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  3, 0);  -- g_pkt_len = fractional multiple of g_in_nof_words
139
      u_24_2_16_3_len_10_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  24, 2, 16, 3, FALSE, FALSE, 1, 1, c_nof_repeat, 10, 0);  -- g_pkt_len = integer multiple of g_in_nof_words
140
      u_24_2_16_3_len_11_gap_0   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  24, 2, 16, 3, FALSE, FALSE, 1, 1, c_nof_repeat, 11, 0);  -- g_pkt_len = fractional multiple of g_in_nof_words
141
 
142
      u_24_2_16_3_len_11_gap_3   : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  24, 2, 16, 3, FALSE, FALSE, 1, 1, c_nof_repeat, 11, 3);  -- g_pkt_gap > 0
143
 
144
      -- g_in_dat_w MOD 8 /= 0
145
      u_6_5_10_3_len_1_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  1, 0);  -- g_pkt_len < g_in_nof_words
146
      u_6_5_10_3_len_2_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  2, 0);  -- g_pkt_len < g_in_nof_words
147
      u_6_5_10_3_len_3_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  3, 0);  -- g_pkt_len < g_in_nof_words
148
      u_6_5_10_3_len_4_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  4, 0);  -- g_pkt_len < g_in_nof_words
149
      u_6_5_10_3_len_5_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat,  5, 0);  -- g_pkt_len = g_in_nof_words
150
      u_6_5_10_3_len_10_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat, 10, 0);  -- g_pkt_len = integer multiple of g_in_nof_words
151
      u_6_5_10_3_len_11_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat, 11, 0);  -- g_pkt_len = fractional multiple of g_in_nof_words
152
 
153
      u_6_5_10_3_len_21_gap_3    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),   6, 5, 10, 3, FALSE, FALSE, 1, 1, c_nof_repeat, 21, 3);  -- g_pkt_gap > 0
154
 
155
      -- subsection padding, g_in_dat_w * g_in_nof_words < g_pack_dat_w * g_pack_nof_words
156
      u_18_2_8_5_len_1_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  18, 2,  8, 5, FALSE, FALSE, 1, 1, c_nof_repeat,  1, 0);  -- g_pkt_len < g_in_nof_words
157
      u_18_2_8_5_len_2_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  18, 2,  8, 5, FALSE, FALSE, 1, 1, c_nof_repeat,  2, 0);  -- g_pkt_len = g_in_nof_words
158
      u_18_2_8_5_len_3_gap_0     : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  18, 2,  8, 5, FALSE, FALSE, 1, 1, c_nof_repeat,  3, 0);  -- g_pkt_len = fractional multiple of g_in_nof_words
159
      u_18_2_8_5_len_10_gap_0    : ENTITY work.tb_dp_repack_data GENERIC MAP (c_flow(I), c_flow(J),  18, 2,  8, 5, FALSE, FALSE, 1, 1, c_nof_repeat, 10, 0);  -- g_pkt_len = integer multiple of g_in_nof_words
160
 
161
    END GENERATE;
162
  END GENERATE;
163
 
164
END tb;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.