OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [tags/] [rel_14/] [rtl/] [verilog/] [eth_shiftreg.v] - Blame information for rev 335

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  eth_shiftreg.v                                              ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6 37 mohor
////  http://www.opencores.org/projects/ethmac/                   ////
7 15 mohor
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001 Authors                                   ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44 131 mohor
// Revision 1.4  2002/03/02 21:06:01  mohor
45
// LinkFail signal was not latching appropriate bit.
46
//
47 84 mohor
// Revision 1.3  2002/01/23 10:28:16  mohor
48
// Link in the header changed.
49
//
50 37 mohor
// Revision 1.2  2001/10/19 08:43:51  mohor
51
// eth_timescale.v changed to timescale.v This is done because of the
52
// simulation of the few cores in a one joined project.
53
//
54 22 mohor
// Revision 1.1  2001/08/06 14:44:29  mohor
55
// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex).
56
// Include files fixed to contain no path.
57
// File names and module names changed ta have a eth_ prologue in the name.
58
// File eth_timescale.v is used to define timescale
59
// All pin names on the top module are changed to contain _I, _O or _OE at the end.
60
// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O
61
// and Mdo_OE. The bidirectional signal must be created on the top level. This
62
// is done due to the ASIC tools.
63
//
64 15 mohor
// Revision 1.1  2001/07/30 21:23:42  mohor
65
// Directory structure changed. Files checked and joind together.
66
//
67
// Revision 1.3  2001/06/01 22:28:56  mohor
68
// This files (MIIM) are fully working. They were thoroughly tested. The testbench is not updated.
69
//
70
//
71
 
72 22 mohor
`include "timescale.v"
73 15 mohor
 
74
 
75
module eth_shiftreg(Clk, Reset, MdcEn_n, Mdi, Fiad, Rgad, CtrlData, WriteOp, ByteSelect,
76
                    LatchByte, ShiftedBit, Prsd, LinkFail);
77
 
78
 
79
parameter Tp=1;
80
 
81
input       Clk;              // Input clock (Host clock)
82
input       Reset;            // Reset signal
83
input       MdcEn_n;          // Enable signal is asserted for one Clk period before Mdc falls.
84
input       Mdi;              // MII input data
85
input [4:0] Fiad;             // PHY address
86
input [4:0] Rgad;             // Register address (within the selected PHY)
87
input [15:0]CtrlData;         // Control data (data to be written to the PHY)
88
input       WriteOp;          // The current operation is a PHY register write operation
89
input [3:0] ByteSelect;       // Byte select
90
input [1:0] LatchByte;        // Byte select for latching (read operation)
91
 
92
output      ShiftedBit;       // Bit shifted out of the shift register
93
output[15:0]Prsd;             // Read Status Data (data read from the PHY)
94
output      LinkFail;         // Link Integrity Signal
95
 
96
reg   [7:0] ShiftReg;         // Shift register for shifting the data in and out
97
reg   [15:0]Prsd;
98
reg         LinkFail;
99
 
100
 
101
 
102
 
103
// ShiftReg[7:0] :: Shift Register Data
104
always @ (posedge Clk or posedge Reset)
105
begin
106
  if(Reset)
107
    begin
108
      ShiftReg[7:0] <= #Tp 8'h0;
109
      Prsd[15:0] <= #Tp 16'h0;
110
      LinkFail <= #Tp 1'b0;
111
    end
112
  else
113
    begin
114
      if(MdcEn_n)
115
        begin
116
          if(|ByteSelect)
117
            begin
118
              case (ByteSelect[3:0])
119
                4'h1 :    ShiftReg[7:0] <= #Tp {2'b01, ~WriteOp, WriteOp, Fiad[4:1]};
120
                4'h2 :    ShiftReg[7:0] <= #Tp {Fiad[0], Rgad[4:0], 2'b10};
121
                4'h4 :    ShiftReg[7:0] <= #Tp CtrlData[15:8];
122
                4'h8 :    ShiftReg[7:0] <= #Tp CtrlData[7:0];
123
                default : ShiftReg[7:0] <= #Tp 8'h0;
124
              endcase
125
            end
126
          else
127
            begin
128
              ShiftReg[7:0] <= #Tp {ShiftReg[6:0], Mdi};
129
              if(LatchByte[0])
130
                begin
131
                  Prsd[7:0] <= #Tp {ShiftReg[6:0], Mdi};
132
                  if(Rgad == 5'h01)
133 131 mohor
                    LinkFail <= #Tp ~ShiftReg[1];  // this is bit [2], because it is not shifted yet
134 15 mohor
                end
135
              else
136
                begin
137
                  if(LatchByte[1])
138
                    Prsd[15:8] <= #Tp {ShiftReg[6:0], Mdi};
139
                end
140
            end
141
        end
142
    end
143
end
144
 
145
 
146
assign ShiftedBit = ShiftReg[7];
147
 
148
 
149
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.