OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [tags/] [rel_17/] [rtl/] [verilog/] [eth_top.v] - Blame information for rev 244

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  eth_top.v                                                   ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6 37 mohor
////  http://www.opencores.org/projects/ethmac/                   ////
7 15 mohor
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11 202 mohor
////  All additional information is available in the Readme.txt   ////
12 15 mohor
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16 202 mohor
//// Copyright (C) 2001, 2002 Authors                             ////
17 15 mohor
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44 244 mohor
// Revision 1.37  2002/11/13 22:25:36  tadejm
45
// All modules are reset with wb_rst instead of the r_Rst. Exception is MII module.
46
//
47 240 tadejm
// Revision 1.36  2002/10/18 17:04:20  tadejm
48
// Changed BIST scan signals.
49
//
50 227 tadejm
// Revision 1.35  2002/10/11 13:36:58  mohor
51
// Typo error fixed. (When using Bist)
52
//
53 218 mohor
// Revision 1.34  2002/10/10 16:49:50  mohor
54
// Signals for WISHBONE B3 compliant interface added.
55
//
56 214 mohor
// Revision 1.33  2002/10/10 16:29:30  mohor
57
// BIST added.
58
//
59 210 mohor
// Revision 1.32  2002/09/20 17:12:58  mohor
60
// CsMiss added. When address between 0x800 and 0xfff is accessed within
61
// Ethernet Core, error acknowledge is generated.
62
//
63 202 mohor
// Revision 1.31  2002/09/12 14:50:17  mohor
64
// CarrierSenseLost bug fixed when operating in full duplex mode.
65
//
66 168 mohor
// Revision 1.30  2002/09/10 10:35:23  mohor
67
// Ethernet debug registers removed.
68
//
69 164 mohor
// Revision 1.29  2002/09/09 13:03:13  mohor
70
// Error acknowledge is generated when accessing BDs and RST bit in the
71
// MODER register (r_Rst) is set.
72
//
73 161 mohor
// Revision 1.28  2002/09/04 18:44:10  mohor
74
// Signals related to the control frames connected. Debug registers reg1, 2, 3, 4
75
// connected.
76
//
77 149 mohor
// Revision 1.27  2002/07/25 18:15:37  mohor
78
// RxAbort changed. Packets received with MRxErr (from PHY) are also
79
// aborted.
80
//
81 125 mohor
// Revision 1.26  2002/07/17 18:51:50  mohor
82
// EXTERNAL_DMA removed. External DMA not supported.
83
//
84 114 mohor
// Revision 1.25  2002/05/03 10:15:50  mohor
85
// Outputs registered. Reset changed for eth_wishbone module.
86
//
87 106 mohor
// Revision 1.24  2002/04/22 14:15:42  mohor
88
// Wishbone signals are registered when ETH_REGISTERED_OUTPUTS is
89
// selected in eth_defines.v
90
//
91 103 mohor
// Revision 1.23  2002/03/25 13:33:53  mohor
92
// md_padoen_o changed to md_padoe_o. Signal was always active high, just
93
// name was incorrect.
94
//
95 95 mohor
// Revision 1.22  2002/02/26 16:59:54  mohor
96
// Small fixes for external/internal DMA missmatches.
97
//
98 80 mohor
// Revision 1.21  2002/02/26 16:21:00  mohor
99
// Interrupts changed in the top file
100
//
101 76 mohor
// Revision 1.20  2002/02/18 10:40:17  mohor
102
// Small fixes.
103
//
104 70 mohor
// Revision 1.19  2002/02/16 14:03:44  mohor
105
// Registered trimmed. Unused registers removed.
106
//
107 68 mohor
// Revision 1.18  2002/02/16 13:06:33  mohor
108
// EXTERNAL_DMA used instead of WISHBONE_DMA.
109
//
110 67 mohor
// Revision 1.17  2002/02/16 07:15:27  mohor
111
// Testbench fixed, code simplified, unused signals removed.
112
//
113 65 mohor
// Revision 1.16  2002/02/15 13:49:39  mohor
114
// RxAbort is connected differently.
115
//
116 63 mohor
// Revision 1.15  2002/02/15 11:38:26  mohor
117
// Changes that were lost when updating from 1.11 to 1.14 fixed.
118
//
119 59 mohor
// Revision 1.14  2002/02/14 20:19:11  billditt
120
// Modified for Address Checking,
121
// addition of eth_addrcheck.v
122
//
123
// Revision 1.13  2002/02/12 17:03:03  mohor
124
// HASH0 and HASH1 registers added. Registers address width was
125
// changed to 8 bits.
126
//
127
// Revision 1.12  2002/02/11 09:18:22  mohor
128
// Tx status is written back to the BD.
129
//
130 43 mohor
// Revision 1.11  2002/02/08 16:21:54  mohor
131
// Rx status is written back to the BD.
132
//
133 42 mohor
// Revision 1.10  2002/02/06 14:10:21  mohor
134
// non-DMA host interface added. Select the right configutation in eth_defines.
135
//
136 41 mohor
// Revision 1.9  2002/01/23 10:28:16  mohor
137
// Link in the header changed.
138
//
139 37 mohor
// Revision 1.8  2001/12/05 15:00:16  mohor
140
// RX_BD_NUM changed to TX_BD_NUM (holds number of TX descriptors
141
// instead of the number of RX descriptors).
142
//
143 34 mohor
// Revision 1.7  2001/12/05 10:45:59  mohor
144
// ETH_RX_BD_ADR register deleted. ETH_RX_BD_NUM is used instead.
145
//
146 33 mohor
// Revision 1.6  2001/10/19 11:24:29  mohor
147
// Number of addresses (wb_adr_i) minimized.
148
//
149 23 mohor
// Revision 1.5  2001/10/19 08:43:51  mohor
150
// eth_timescale.v changed to timescale.v This is done because of the
151
// simulation of the few cores in a one joined project.
152
//
153 22 mohor
// Revision 1.4  2001/10/18 12:07:11  mohor
154
// Status signals changed, Adress decoding changed, interrupt controller
155
// added.
156
//
157 21 mohor
// Revision 1.3  2001/09/24 15:02:56  mohor
158
// Defines changed (All precede with ETH_). Small changes because some
159
// tools generate warnings when two operands are together. Synchronization
160
// between two clocks domains in eth_wishbonedma.v is changed (due to ASIC
161
// demands).
162
//
163 20 mohor
// Revision 1.2  2001/08/15 14:03:59  mohor
164
// Signal names changed on the top level for easier pad insertion (ASIC).
165
//
166 17 mohor
// Revision 1.1  2001/08/06 14:44:29  mohor
167
// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex).
168
// Include files fixed to contain no path.
169
// File names and module names changed ta have a eth_ prologue in the name.
170
// File eth_timescale.v is used to define timescale
171
// All pin names on the top module are changed to contain _I, _O or _OE at the end.
172
// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O
173
// and Mdo_OE. The bidirectional signal must be created on the top level. This
174
// is done due to the ASIC tools.
175
//
176 15 mohor
// Revision 1.2  2001/08/02 09:25:31  mohor
177
// Unconnected signals are now connected.
178
//
179
// Revision 1.1  2001/07/30 21:23:42  mohor
180
// Directory structure changed. Files checked and joind together.
181
//
182
//
183
//
184 20 mohor
// 
185 15 mohor
 
186
 
187
`include "eth_defines.v"
188 22 mohor
`include "timescale.v"
189 15 mohor
 
190
 
191
module eth_top
192
(
193
  // WISHBONE common
194 17 mohor
  wb_clk_i, wb_rst_i, wb_dat_i, wb_dat_o,
195 15 mohor
 
196
  // WISHBONE slave
197 17 mohor
  wb_adr_i, wb_sel_i, wb_we_i, wb_cyc_i, wb_stb_i, wb_ack_o, wb_err_o,
198 15 mohor
 
199 41 mohor
  // WISHBONE master
200
  m_wb_adr_o, m_wb_sel_o, m_wb_we_o,
201
  m_wb_dat_o, m_wb_dat_i, m_wb_cyc_o,
202
  m_wb_stb_o, m_wb_ack_i, m_wb_err_i,
203
 
204 214 mohor
`ifdef ETH_WISHBONE_B3
205
  m_wb_cti_o, m_wb_bte_o,
206
`endif
207
 
208 15 mohor
  //TX
209 20 mohor
  mtx_clk_pad_i, mtxd_pad_o, mtxen_pad_o, mtxerr_pad_o,
210 15 mohor
 
211
  //RX
212 20 mohor
  mrx_clk_pad_i, mrxd_pad_i, mrxdv_pad_i, mrxerr_pad_i, mcoll_pad_i, mcrs_pad_i,
213 15 mohor
 
214
  // MIIM
215 95 mohor
  mdc_pad_o, md_pad_i, md_pad_o, md_padoe_o,
216 17 mohor
 
217 21 mohor
  int_o
218 17 mohor
 
219 210 mohor
  // Bist
220
`ifdef ETH_BIST
221 227 tadejm
  ,
222
  // debug chain signals
223
  scanb_rst,      // bist scan reset
224
  scanb_clk,      // bist scan clock
225
  scanb_si,       // bist scan serial in
226
  scanb_so,       // bist scan serial out
227
  scanb_en        // bist scan shift enable
228 210 mohor
`endif
229 21 mohor
 
230 15 mohor
);
231
 
232
 
233
parameter Tp = 1;
234
 
235
 
236
// WISHBONE common
237 17 mohor
input           wb_clk_i;     // WISHBONE clock
238
input           wb_rst_i;     // WISHBONE reset
239
input   [31:0]  wb_dat_i;     // WISHBONE data input
240
output  [31:0]  wb_dat_o;     // WISHBONE data output
241
output          wb_err_o;     // WISHBONE error output
242 15 mohor
 
243
// WISHBONE slave
244 23 mohor
input   [11:2]  wb_adr_i;     // WISHBONE address input
245 17 mohor
input    [3:0]  wb_sel_i;     // WISHBONE byte select input
246
input           wb_we_i;      // WISHBONE write enable input
247
input           wb_cyc_i;     // WISHBONE cycle input
248
input           wb_stb_i;     // WISHBONE strobe input
249
output          wb_ack_o;     // WISHBONE acknowledge output
250 15 mohor
 
251 41 mohor
// WISHBONE master
252
output  [31:0]  m_wb_adr_o;
253
output   [3:0]  m_wb_sel_o;
254
output          m_wb_we_o;
255
input   [31:0]  m_wb_dat_i;
256
output  [31:0]  m_wb_dat_o;
257
output          m_wb_cyc_o;
258
output          m_wb_stb_o;
259
input           m_wb_ack_i;
260
input           m_wb_err_i;
261 15 mohor
 
262 214 mohor
`ifdef ETH_WISHBONE_B3
263
output   [2:0]  m_wb_cti_o;   // Cycle Type Identifier
264
output   [1:0]  m_wb_bte_o;   // Burst Type Extension
265
`endif
266 41 mohor
 
267 15 mohor
// Tx
268 20 mohor
input           mtx_clk_pad_i; // Transmit clock (from PHY)
269 21 mohor
output   [3:0]  mtxd_pad_o;    // Transmit nibble (to PHY)
270
output          mtxen_pad_o;   // Transmit enable (to PHY)
271
output          mtxerr_pad_o;  // Transmit error (to PHY)
272 15 mohor
 
273
// Rx
274 20 mohor
input           mrx_clk_pad_i; // Receive clock (from PHY)
275 21 mohor
input    [3:0]  mrxd_pad_i;    // Receive nibble (from PHY)
276
input           mrxdv_pad_i;   // Receive data valid (from PHY)
277
input           mrxerr_pad_i;  // Receive data error (from PHY)
278 15 mohor
 
279
// Common Tx and Rx
280 21 mohor
input           mcoll_pad_i;   // Collision (from PHY)
281
input           mcrs_pad_i;    // Carrier sense (from PHY)
282 15 mohor
 
283
// MII Management interface
284 21 mohor
input           md_pad_i;      // MII data input (from I/O cell)
285
output          mdc_pad_o;     // MII Management data clock (to PHY)
286
output          md_pad_o;      // MII data output (to I/O cell)
287 95 mohor
output          md_padoe_o;    // MII data output enable (to I/O cell)
288 15 mohor
 
289 21 mohor
output          int_o;         // Interrupt output
290 15 mohor
 
291 210 mohor
// Bist
292
`ifdef ETH_BIST
293 227 tadejm
input   scanb_rst;      // bist scan reset
294
input   scanb_clk;      // bist scan clock
295
input   scanb_si;       // bist scan serial in
296
output  scanb_so;       // bist scan serial out
297
input   scanb_en;       // bist scan shift enable
298 210 mohor
`endif
299
 
300 15 mohor
wire     [7:0]  r_ClkDiv;
301
wire            r_MiiNoPre;
302
wire    [15:0]  r_CtrlData;
303
wire     [4:0]  r_FIAD;
304
wire     [4:0]  r_RGAD;
305
wire            r_WCtrlData;
306
wire            r_RStat;
307
wire            r_ScanStat;
308
wire            NValid_stat;
309
wire            Busy_stat;
310
wire            LinkFail;
311
wire            r_MiiMRst;
312
wire    [15:0]  Prsd;             // Read Status Data (data read from the PHY)
313
wire            WCtrlDataStart;
314
wire            RStatStart;
315
wire            UpdateMIIRX_DATAReg;
316
 
317
wire            TxStartFrm;
318
wire            TxEndFrm;
319
wire            TxUsedData;
320
wire     [7:0]  TxData;
321
wire            TxRetry;
322
wire            TxAbort;
323
wire            TxUnderRun;
324
wire            TxDone;
325 42 mohor
wire     [5:0]  CollValid;
326 15 mohor
 
327
 
328 149 mohor
reg             WillSendControlFrame_sync1;
329
reg             WillSendControlFrame_sync2;
330
reg             WillSendControlFrame_sync3;
331
reg             RstTxPauseRq;
332 15 mohor
 
333
 
334
// Connecting Miim module
335
eth_miim miim1
336
(
337 17 mohor
  .Clk(wb_clk_i),                         .Reset(r_MiiMRst),                  .Divider(r_ClkDiv),
338 15 mohor
  .NoPre(r_MiiNoPre),                     .CtrlData(r_CtrlData),              .Rgad(r_RGAD),
339
  .Fiad(r_FIAD),                          .WCtrlData(r_WCtrlData),            .RStat(r_RStat),
340 17 mohor
  .ScanStat(r_ScanStat),                  .Mdi(md_pad_i),                     .Mdo(md_pad_o),
341 95 mohor
  .MdoEn(md_padoe_o),                     .Mdc(mdc_pad_o),                    .Busy(Busy_stat),
342 15 mohor
  .Prsd(Prsd),                            .LinkFail(LinkFail),                .Nvalid(NValid_stat),
343
  .WCtrlDataStart(WCtrlDataStart),        .RStatStart(RStatStart),            .UpdateMIIRX_DATAReg(UpdateMIIRX_DATAReg)
344
);
345
 
346
 
347
 
348
 
349
wire        RegCs;          // Connected to registers
350 17 mohor
wire [31:0] RegDataOut;     // Multiplexed to wb_dat_o
351 42 mohor
wire        r_RecSmall;     // Receive small frames
352 15 mohor
wire        r_LoopBck;      // Loopback
353
wire        r_TxEn;         // Tx Enable
354
wire        r_RxEn;         // Rx Enable
355
 
356
wire        MRxDV_Lb;       // Muxed MII receive data valid
357
wire        MRxErr_Lb;      // Muxed MII Receive Error
358
wire  [3:0] MRxD_Lb;        // Muxed MII Receive Data
359
wire        Transmitting;   // Indication that TxEthMAC is transmitting
360
wire        r_HugEn;        // Huge packet enable
361
wire        r_DlyCrcEn;     // Delayed CRC enabled
362
wire [15:0] r_MaxFL;        // Maximum frame length
363
 
364
wire [15:0] r_MinFL;        // Minimum frame length
365 42 mohor
wire        ShortFrame;
366
wire        DribbleNibble;  // Extra nibble received
367
wire        ReceivedPacketTooBig; // Received packet is too big
368 15 mohor
wire [47:0] r_MAC;          // MAC address
369 42 mohor
wire        LoadRxStatus;   // Rx status was loaded
370 52 billditt
wire [31:0] r_HASH0;        // HASH table, lower 4 bytes
371
wire [31:0] r_HASH1;        // HASH table, upper 4 bytes
372 34 mohor
wire  [7:0] r_TxBDNum;      // Receive buffer descriptor number
373 15 mohor
wire  [6:0] r_IPGT;         // 
374
wire  [6:0] r_IPGR1;        // 
375
wire  [6:0] r_IPGR2;        // 
376
wire  [5:0] r_CollValid;    // 
377 149 mohor
wire [15:0] r_TxPauseTV;    // Transmit PAUSE value
378
wire        r_TxPauseRq;    // Transmit PAUSE request
379 15 mohor
 
380
wire  [3:0] r_MaxRet;       //
381
wire        r_NoBckof;      // 
382
wire        r_ExDfrEn;      // 
383 34 mohor
wire        TX_BD_NUM_Wr;   // Write enable that writes RX_BD_NUM to the registers.
384 15 mohor
wire        r_TxFlow;       // Tx flow control enable
385
wire        r_IFG;          // Minimum interframe gap for incoming packets
386
 
387 21 mohor
wire        TxB_IRQ;        // Interrupt Tx Buffer
388
wire        TxE_IRQ;        // Interrupt Tx Error
389
wire        RxB_IRQ;        // Interrupt Rx Buffer
390 76 mohor
wire        RxE_IRQ;        // Interrupt Rx Error
391 21 mohor
wire        Busy_IRQ;       // Interrupt Busy (lack of buffers)
392 15 mohor
 
393
wire        DWord;
394
wire        BDAck;
395 103 mohor
wire [31:0] BD_WB_DAT_O;    // wb_dat_o that comes from the Wishbone module (for buffer descriptors read/write)
396 21 mohor
wire        BDCs;           // Buffer descriptor CS
397 202 mohor
wire        CsMiss;         // When access to the address between 0x800 and 0xfff occurs, acknowledge is set
398
                            // but data is not valid.
399 15 mohor
 
400 103 mohor
wire        temp_wb_ack_o;
401
wire [31:0] temp_wb_dat_o;
402
wire        temp_wb_err_o;
403 15 mohor
 
404 103 mohor
`ifdef ETH_REGISTERED_OUTPUTS
405
  reg         temp_wb_ack_o_reg;
406
  reg [31:0]  temp_wb_dat_o_reg;
407
  reg         temp_wb_err_o_reg;
408
`endif
409
 
410 17 mohor
assign DWord = &wb_sel_i;
411 103 mohor
assign RegCs = wb_stb_i & wb_cyc_i & DWord & ~wb_adr_i[11] & ~wb_adr_i[10];   // 0x0   - 0x3FF
412 114 mohor
assign BDCs  = wb_stb_i & wb_cyc_i & DWord & ~wb_adr_i[11] &  wb_adr_i[10];   // 0x400 - 0x7FF
413 202 mohor
assign CsMiss = wb_stb_i & wb_cyc_i & DWord & wb_adr_i[11];                   // 0x800 - 0xfFF
414 103 mohor
assign temp_wb_ack_o = RegCs | BDAck;
415
assign temp_wb_dat_o = (RegCs & ~wb_we_i)? RegDataOut : BD_WB_DAT_O;
416 240 tadejm
assign temp_wb_err_o = wb_stb_i & wb_cyc_i & (~DWord | CsMiss);
417 15 mohor
 
418 103 mohor
`ifdef ETH_REGISTERED_OUTPUTS
419
  assign wb_ack_o = temp_wb_ack_o_reg;
420
  assign wb_dat_o[31:0] = temp_wb_dat_o_reg;
421
  assign wb_err_o = temp_wb_err_o_reg;
422
`else
423
  assign wb_ack_o = temp_wb_ack_o;
424
  assign wb_dat_o[31:0] = temp_wb_dat_o;
425
  assign wb_err_o = temp_wb_err_o;
426
`endif
427 15 mohor
 
428
 
429
 
430 103 mohor
`ifdef ETH_REGISTERED_OUTPUTS
431
  always @ (posedge wb_clk_i or posedge wb_rst_i)
432
  begin
433
    if(wb_rst_i)
434
      begin
435
        temp_wb_ack_o_reg <=#Tp 1'b0;
436
        temp_wb_dat_o_reg <=#Tp 32'h0;
437
        temp_wb_err_o_reg <=#Tp 1'b0;
438
      end
439
    else
440
      begin
441 106 mohor
        temp_wb_ack_o_reg <=#Tp temp_wb_ack_o & ~temp_wb_ack_o_reg;
442 103 mohor
        temp_wb_dat_o_reg <=#Tp temp_wb_dat_o;
443 106 mohor
        temp_wb_err_o_reg <=#Tp temp_wb_err_o & ~temp_wb_err_o_reg;
444 103 mohor
      end
445
  end
446
`endif
447
 
448
 
449 15 mohor
// Connecting Ethernet registers
450
eth_registers ethreg1
451
(
452 47 mohor
  .DataIn(wb_dat_i),                      .Address(wb_adr_i[9:2]),                    .Rw(wb_we_i),
453 17 mohor
  .Cs(RegCs),                             .Clk(wb_clk_i),                             .Reset(wb_rst_i),
454 68 mohor
  .DataOut(RegDataOut),                   .r_RecSmall(r_RecSmall),
455 15 mohor
  .r_Pad(r_Pad),                          .r_HugEn(r_HugEn),                          .r_CrcEn(r_CrcEn),
456 244 mohor
  .r_DlyCrcEn(r_DlyCrcEn),                .r_FullD(r_FullD),
457 15 mohor
  .r_ExDfrEn(r_ExDfrEn),                  .r_NoBckof(r_NoBckof),                      .r_LoopBck(r_LoopBck),
458 52 billditt
  .r_IFG(r_IFG),                          .r_Pro(r_Pro),                              .r_Iam(),
459
  .r_Bro(r_Bro),                          .r_NoPre(r_NoPre),                          .r_TxEn(r_TxEn),
460 76 mohor
  .r_RxEn(r_RxEn),                        .Busy_IRQ(Busy_IRQ),                        .RxE_IRQ(RxE_IRQ),
461 21 mohor
  .RxB_IRQ(RxB_IRQ),                      .TxE_IRQ(TxE_IRQ),                          .TxB_IRQ(TxB_IRQ),
462 149 mohor
  .r_IPGT(r_IPGT),
463 15 mohor
  .r_IPGR1(r_IPGR1),                      .r_IPGR2(r_IPGR2),                          .r_MinFL(r_MinFL),
464
  .r_MaxFL(r_MaxFL),                      .r_MaxRet(r_MaxRet),                        .r_CollValid(r_CollValid),
465
  .r_TxFlow(r_TxFlow),                    .r_RxFlow(r_RxFlow),                        .r_PassAll(r_PassAll),
466
  .r_MiiMRst(r_MiiMRst),                  .r_MiiNoPre(r_MiiNoPre),                    .r_ClkDiv(r_ClkDiv),
467
  .r_WCtrlData(r_WCtrlData),              .r_RStat(r_RStat),                          .r_ScanStat(r_ScanStat),
468
  .r_RGAD(r_RGAD),                        .r_FIAD(r_FIAD),                            .r_CtrlData(r_CtrlData),
469
  .NValid_stat(NValid_stat),              .Busy_stat(Busy_stat),
470
  .LinkFail(LinkFail),                    .r_MAC(r_MAC),                              .WCtrlDataStart(WCtrlDataStart),
471
  .RStatStart(RStatStart),                .UpdateMIIRX_DATAReg(UpdateMIIRX_DATAReg),  .Prsd(Prsd),
472 47 mohor
  .r_TxBDNum(r_TxBDNum),                  .TX_BD_NUM_Wr(TX_BD_NUM_Wr),                .int_o(int_o),
473 149 mohor
  .r_HASH0(r_HASH0),                      .r_HASH1(r_HASH1),                          .r_TxPauseRq(r_TxPauseRq),
474
  .r_TxPauseTV(r_TxPauseTV),              .RstTxPauseRq(RstTxPauseRq),                .TxCtrlEndFrm(TxCtrlEndFrm),
475
  .StartTxDone(StartTxDone),              .TxClk(mtx_clk_pad_i),                      .RxClk(mrx_clk_pad_i),
476 164 mohor
  .ReceivedPauseFrm(ReceivedPauseFrm)
477 149 mohor
 
478 15 mohor
);
479
 
480
 
481
 
482
wire  [7:0] RxData;
483
wire        RxValid;
484
wire        RxStartFrm;
485
wire        RxEndFrm;
486 41 mohor
wire        RxAbort;
487 15 mohor
 
488
wire        WillTransmit;            // Will transmit (to RxEthMAC)
489
wire        ResetCollision;          // Reset Collision (for synchronizing collision)
490
wire  [7:0] TxDataOut;               // Transmit Packet Data (to TxEthMAC)
491
wire        WillSendControlFrame;
492
wire        ReceiveEnd;
493
wire        ReceivedPacketGood;
494
wire        ReceivedLengthOK;
495 42 mohor
wire        InvalidSymbol;
496
wire        LatchedCrcError;
497
wire        RxLateCollision;
498 59 mohor
wire  [3:0] RetryCntLatched;
499
wire  [3:0] RetryCnt;
500
wire        StartTxAbort;
501
wire        MaxCollisionOccured;
502
wire        RetryLimit;
503
wire        StatePreamble;
504
wire  [1:0] StateData;
505 15 mohor
 
506
// Connecting MACControl
507
eth_maccontrol maccontrol1
508
(
509 149 mohor
  .MTxClk(mtx_clk_pad_i),                       .TPauseRq(r_TxPauseRq),
510
  .TxPauseTV(r_TxPauseTV),                      .TxDataIn(TxData),
511 15 mohor
  .TxStartFrmIn(TxStartFrm),                    .TxEndFrmIn(TxEndFrm),
512
  .TxUsedDataIn(TxUsedDataIn),                  .TxDoneIn(TxDoneIn),
513 20 mohor
  .TxAbortIn(TxAbortIn),                        .MRxClk(mrx_clk_pad_i),
514 15 mohor
  .RxData(RxData),                              .RxValid(RxValid),
515
  .RxStartFrm(RxStartFrm),                      .RxEndFrm(RxEndFrm),
516
  .ReceiveEnd(ReceiveEnd),                      .ReceivedPacketGood(ReceivedPacketGood),
517
  .PassAll(r_PassAll),                          .TxFlow(r_TxFlow),
518
  .RxFlow(r_RxFlow),                            .DlyCrcEn(r_DlyCrcEn),
519
  .MAC(r_MAC),                                  .PadIn(r_Pad | PerPacketPad),
520
  .PadOut(PadOut),                              .CrcEnIn(r_CrcEn | PerPacketCrcEn),
521 240 tadejm
  .CrcEnOut(CrcEnOut),                          .TxReset(wb_rst_i),
522
  .RxReset(wb_rst_i),                           .ReceivedLengthOK(ReceivedLengthOK),
523 15 mohor
  .TxDataOut(TxDataOut),                        .TxStartFrmOut(TxStartFrmOut),
524
  .TxEndFrmOut(TxEndFrmOut),                    .TxUsedDataOut(TxUsedData),
525
  .TxDoneOut(TxDone),                           .TxAbortOut(TxAbort),
526
  .WillSendControlFrame(WillSendControlFrame),  .TxCtrlEndFrm(TxCtrlEndFrm),
527
  .ReceivedPauseFrm(ReceivedPauseFrm)
528
);
529
 
530
 
531
 
532
wire TxCarrierSense;          // Synchronized CarrierSense (to Tx clock)
533
wire Collision;               // Synchronized Collision
534
 
535
reg CarrierSense_Tx1;
536
reg CarrierSense_Tx2;
537
reg Collision_Tx1;
538
reg Collision_Tx2;
539
 
540
reg RxEnSync;                 // Synchronized Receive Enable
541
reg CarrierSense_Rx1;
542
reg RxCarrierSense;           // Synchronized CarrierSense (to Rx clock)
543
reg WillTransmit_q;
544
reg WillTransmit_q2;
545
 
546
 
547
 
548
// Muxed MII receive data valid
549 17 mohor
assign MRxDV_Lb = r_LoopBck? mtxen_pad_o : mrxdv_pad_i & RxEnSync;
550 15 mohor
 
551
// Muxed MII Receive Error
552 17 mohor
assign MRxErr_Lb = r_LoopBck? mtxerr_pad_o : mrxerr_pad_i & RxEnSync;
553 15 mohor
 
554
// Muxed MII Receive Data
555 17 mohor
assign MRxD_Lb[3:0] = r_LoopBck? mtxd_pad_o[3:0] : mrxd_pad_i[3:0];
556 15 mohor
 
557
 
558
 
559
// Connecting TxEthMAC
560
eth_txethmac txethmac1
561
(
562 240 tadejm
  .MTxClk(mtx_clk_pad_i),             .Reset(wb_rst_i),                   .CarrierSense(TxCarrierSense),
563 15 mohor
  .Collision(Collision),              .TxData(TxDataOut),                 .TxStartFrm(TxStartFrmOut),
564
  .TxUnderRun(TxUnderRun),            .TxEndFrm(TxEndFrmOut),             .Pad(PadOut),
565
  .MinFL(r_MinFL),                    .CrcEn(CrcEnOut),                   .FullD(r_FullD),
566
  .HugEn(r_HugEn),                    .DlyCrcEn(r_DlyCrcEn),              .IPGT(r_IPGT),
567
  .IPGR1(r_IPGR1),                    .IPGR2(r_IPGR2),                    .CollValid(r_CollValid),
568
  .MaxRet(r_MaxRet),                  .NoBckof(r_NoBckof),                .ExDfrEn(r_ExDfrEn),
569 17 mohor
  .MaxFL(r_MaxFL),                    .MTxEn(mtxen_pad_o),                .MTxD(mtxd_pad_o),
570
  .MTxErr(mtxerr_pad_o),              .TxUsedData(TxUsedDataIn),          .TxDone(TxDoneIn),
571 15 mohor
  .TxRetry(TxRetry),                  .TxAbort(TxAbortIn),                .WillTransmit(WillTransmit),
572 59 mohor
  .ResetCollision(ResetCollision),    .RetryCnt(RetryCnt),                .StartTxDone(StartTxDone),
573
  .StartTxAbort(StartTxAbort),        .MaxCollisionOccured(MaxCollisionOccured), .LateCollision(LateCollision),
574
  .StartDefer(StartDefer),            .StatePreamble(StatePreamble),      .StateData(StateData)
575 15 mohor
);
576
 
577
 
578
 
579
 
580
wire  [15:0]  RxByteCnt;
581
wire          RxByteCntEq0;
582
wire          RxByteCntGreat2;
583
wire          RxByteCntMaxFrame;
584
wire          RxCrcError;
585
wire          RxStateIdle;
586
wire          RxStatePreamble;
587
wire          RxStateSFD;
588
wire   [1:0]  RxStateData;
589
 
590
 
591
 
592
 
593
// Connecting RxEthMAC
594
eth_rxethmac rxethmac1
595
(
596 21 mohor
  .MRxClk(mrx_clk_pad_i),               .MRxDV(MRxDV_Lb),                     .MRxD(MRxD_Lb),
597 15 mohor
  .Transmitting(Transmitting),          .HugEn(r_HugEn),                      .DlyCrcEn(r_DlyCrcEn),
598 240 tadejm
  .MaxFL(r_MaxFL),                      .r_IFG(r_IFG),                        .Reset(wb_rst_i),
599 15 mohor
  .RxData(RxData),                      .RxValid(RxValid),                    .RxStartFrm(RxStartFrm),
600 65 mohor
  .RxEndFrm(RxEndFrm),                  .ByteCnt(RxByteCnt),
601 15 mohor
  .ByteCntEq0(RxByteCntEq0),            .ByteCntGreat2(RxByteCntGreat2),      .ByteCntMaxFrame(RxByteCntMaxFrame),
602
  .CrcError(RxCrcError),                .StateIdle(RxStateIdle),              .StatePreamble(RxStatePreamble),
603 52 billditt
  .StateSFD(RxStateSFD),                .StateData(RxStateData),
604 63 mohor
  .MAC(r_MAC),                          .r_Pro(r_Pro),                        .r_Bro(r_Bro),
605
  .r_HASH0(r_HASH0),                    .r_HASH1(r_HASH1),                    .RxAbort(RxAbort)
606 15 mohor
);
607
 
608
 
609
// MII Carrier Sense Synchronization
610 240 tadejm
always @ (posedge mtx_clk_pad_i or posedge wb_rst_i)
611 15 mohor
begin
612 240 tadejm
  if(wb_rst_i)
613 15 mohor
    begin
614
      CarrierSense_Tx1 <= #Tp 1'b0;
615
      CarrierSense_Tx2 <= #Tp 1'b0;
616
    end
617
  else
618
    begin
619 17 mohor
      CarrierSense_Tx1 <= #Tp mcrs_pad_i;
620 15 mohor
      CarrierSense_Tx2 <= #Tp CarrierSense_Tx1;
621
    end
622
end
623
 
624
assign TxCarrierSense = ~r_FullD & CarrierSense_Tx2;
625
 
626
 
627
// MII Collision Synchronization
628 240 tadejm
always @ (posedge mtx_clk_pad_i or posedge wb_rst_i)
629 15 mohor
begin
630 240 tadejm
  if(wb_rst_i)
631 15 mohor
    begin
632
      Collision_Tx1 <= #Tp 1'b0;
633
      Collision_Tx2 <= #Tp 1'b0;
634
    end
635
  else
636
    begin
637 17 mohor
      Collision_Tx1 <= #Tp mcoll_pad_i;
638 15 mohor
      if(ResetCollision)
639
        Collision_Tx2 <= #Tp 1'b0;
640
      else
641
      if(Collision_Tx1)
642
        Collision_Tx2 <= #Tp 1'b1;
643
    end
644
end
645
 
646
 
647
// Synchronized Collision
648
assign Collision = ~r_FullD & Collision_Tx2;
649
 
650
 
651
 
652
// Carrier sense is synchronized to receive clock.
653 240 tadejm
always @ (posedge mrx_clk_pad_i or posedge wb_rst_i)
654 15 mohor
begin
655 240 tadejm
  if(wb_rst_i)
656 15 mohor
    begin
657
      CarrierSense_Rx1 <= #Tp 1'h0;
658
      RxCarrierSense <= #Tp 1'h0;
659
    end
660
  else
661
    begin
662 17 mohor
      CarrierSense_Rx1 <= #Tp mcrs_pad_i;
663 15 mohor
      RxCarrierSense <= #Tp CarrierSense_Rx1;
664
    end
665
end
666
 
667
 
668
// Delayed WillTransmit
669 20 mohor
always @ (posedge mrx_clk_pad_i)
670 15 mohor
begin
671
  WillTransmit_q <= #Tp WillTransmit;
672
  WillTransmit_q2 <= #Tp WillTransmit_q;
673
end
674
 
675
 
676
assign Transmitting = ~r_FullD & WillTransmit_q2;
677
 
678
 
679
 
680
// Synchronized Receive Enable
681 240 tadejm
always @ (posedge mrx_clk_pad_i or posedge wb_rst_i)
682 15 mohor
begin
683 240 tadejm
  if(wb_rst_i)
684 15 mohor
    RxEnSync <= #Tp 1'b0;
685
  else
686
  if(~RxCarrierSense | RxCarrierSense & Transmitting)
687
    RxEnSync <= #Tp r_RxEn;
688
end
689
 
690
 
691
 
692 149 mohor
// Synchronizing WillSendControlFrame to WB_CLK;
693
always @ (posedge wb_clk_i or posedge wb_rst_i)
694
begin
695
  if(wb_rst_i)
696
    WillSendControlFrame_sync1 <= 1'b0;
697
  else
698
    WillSendControlFrame_sync1 <=#Tp WillSendControlFrame;
699
end
700 15 mohor
 
701 149 mohor
always @ (posedge wb_clk_i or posedge wb_rst_i)
702
begin
703
  if(wb_rst_i)
704
    WillSendControlFrame_sync2 <= 1'b0;
705
  else
706
    WillSendControlFrame_sync2 <=#Tp WillSendControlFrame_sync1;
707
end
708
 
709
always @ (posedge wb_clk_i or posedge wb_rst_i)
710
begin
711
  if(wb_rst_i)
712
    WillSendControlFrame_sync3 <= 1'b0;
713
  else
714
    WillSendControlFrame_sync3 <=#Tp WillSendControlFrame_sync2;
715
end
716
 
717
always @ (posedge wb_clk_i or posedge wb_rst_i)
718
begin
719
  if(wb_rst_i)
720
    RstTxPauseRq <= 1'b0;
721
  else
722
    RstTxPauseRq <=#Tp WillSendControlFrame_sync2 & ~WillSendControlFrame_sync3;
723
end
724
 
725
 
726 114 mohor
// Connecting Wishbone module
727 41 mohor
eth_wishbone wishbone
728 15 mohor
(
729 41 mohor
  .WB_CLK_I(wb_clk_i),                .WB_DAT_I(wb_dat_i),
730 103 mohor
  .WB_DAT_O(BD_WB_DAT_O),
731 15 mohor
 
732
  // WISHBONE slave
733 76 mohor
  .WB_ADR_I(wb_adr_i[9:2]),           .WB_WE_I(wb_we_i),
734 21 mohor
  .BDCs(BDCs),                        .WB_ACK_O(BDAck),
735 15 mohor
 
736 240 tadejm
  .Reset(wb_rst_i),
737 41 mohor
 
738
  // WISHBONE master
739
  .m_wb_adr_o(m_wb_adr_o),            .m_wb_sel_o(m_wb_sel_o),                  .m_wb_we_o(m_wb_we_o),
740
  .m_wb_dat_i(m_wb_dat_i),            .m_wb_dat_o(m_wb_dat_o),                  .m_wb_cyc_o(m_wb_cyc_o),
741
  .m_wb_stb_o(m_wb_stb_o),            .m_wb_ack_i(m_wb_ack_i),                  .m_wb_err_i(m_wb_err_i),
742 214 mohor
 
743
`ifdef ETH_WISHBONE_B3
744
  .m_wb_cti_o(m_wb_cti_o),            .m_wb_bte_o(m_wb_bte_o),
745
`endif
746
 
747 41 mohor
 
748 15 mohor
    //TX
749 21 mohor
  .MTxClk(mtx_clk_pad_i),             .TxStartFrm(TxStartFrm),                  .TxEndFrm(TxEndFrm),
750 59 mohor
  .TxUsedData(TxUsedData),            .TxData(TxData),
751 15 mohor
  .TxRetry(TxRetry),                  .TxAbort(TxAbort),                        .TxUnderRun(TxUnderRun),
752 149 mohor
  .TxDone(TxDone),
753
  .PerPacketCrcEn(PerPacketCrcEn),    .PerPacketPad(PerPacketPad),
754 15 mohor
 
755
  // Register
756 34 mohor
  .r_TxEn(r_TxEn),                    .r_RxEn(r_RxEn),                          .r_TxBDNum(r_TxBDNum),
757 149 mohor
  .TX_BD_NUM_Wr(TX_BD_NUM_Wr),
758 15 mohor
 
759
  //RX
760 21 mohor
  .MRxClk(mrx_clk_pad_i),             .RxData(RxData),                          .RxValid(RxValid),
761 41 mohor
  .RxStartFrm(RxStartFrm),            .RxEndFrm(RxEndFrm),
762 76 mohor
  .Busy_IRQ(Busy_IRQ),                .RxE_IRQ(RxE_IRQ),                        .RxB_IRQ(RxB_IRQ),
763 149 mohor
  .TxE_IRQ(TxE_IRQ),                  .TxB_IRQ(TxB_IRQ),
764 21 mohor
 
765 149 mohor
  .RxAbort(RxAbort | (ShortFrame & ~r_RecSmall) | LatchedMRxErr & ~InvalidSymbol | ReceivedPauseFrm & ~r_PassAll),
766 41 mohor
 
767 42 mohor
  .InvalidSymbol(InvalidSymbol),      .LatchedCrcError(LatchedCrcError),        .RxLength(RxByteCnt),
768
  .RxLateCollision(RxLateCollision),  .ShortFrame(ShortFrame),                  .DribbleNibble(DribbleNibble),
769 59 mohor
  .ReceivedPacketTooBig(ReceivedPacketTooBig), .LoadRxStatus(LoadRxStatus),     .RetryCntLatched(RetryCntLatched),
770
  .RetryLimit(RetryLimit),            .LateCollLatched(LateCollLatched),        .DeferLatched(DeferLatched),
771 164 mohor
  .CarrierSenseLost(CarrierSenseLost),.ReceivedPacketGood(ReceivedPacketGood)
772 59 mohor
 
773 210 mohor
`ifdef ETH_BIST
774 218 mohor
  ,
775 227 tadejm
  .scanb_rst      (scanb_rst),
776
  .scanb_clk      (scanb_clk),
777
  .scanb_si       (scanb_si),
778
  .scanb_so       (scanb_so),
779
  .scanb_en       (scanb_en)
780 210 mohor
`endif
781 15 mohor
);
782
 
783
 
784
 
785
// Connecting MacStatus module
786
eth_macstatus macstatus1
787
(
788 240 tadejm
  .MRxClk(mrx_clk_pad_i),             .Reset(wb_rst_i),
789 42 mohor
  .ReceiveEnd(ReceiveEnd),            .ReceivedPacketGood(ReceivedPacketGood),     .ReceivedLengthOK(ReceivedLengthOK),
790
  .RxCrcError(RxCrcError),            .MRxErr(MRxErr_Lb),                          .MRxDV(MRxDV_Lb),
791
  .RxStateSFD(RxStateSFD),            .RxStateData(RxStateData),                   .RxStatePreamble(RxStatePreamble),
792
  .RxStateIdle(RxStateIdle),          .Transmitting(Transmitting),                 .RxByteCnt(RxByteCnt),
793
  .RxByteCntEq0(RxByteCntEq0),        .RxByteCntGreat2(RxByteCntGreat2),           .RxByteCntMaxFrame(RxByteCntMaxFrame),
794
  .ReceivedPauseFrm(ReceivedPauseFrm),.InvalidSymbol(InvalidSymbol),
795
  .MRxD(MRxD_Lb),                     .LatchedCrcError(LatchedCrcError),           .Collision(mcoll_pad_i),
796
  .CollValid(r_CollValid),            .RxLateCollision(RxLateCollision),           .r_RecSmall(r_RecSmall),
797
  .r_MinFL(r_MinFL),                  .r_MaxFL(r_MaxFL),                           .ShortFrame(ShortFrame),
798
  .DribbleNibble(DribbleNibble),      .ReceivedPacketTooBig(ReceivedPacketTooBig), .r_HugEn(r_HugEn),
799 59 mohor
  .LoadRxStatus(LoadRxStatus),        .RetryCnt(RetryCnt),                         .StartTxDone(StartTxDone),
800
  .StartTxAbort(StartTxAbort),        .RetryCntLatched(RetryCntLatched),           .MTxClk(mtx_clk_pad_i),
801
  .MaxCollisionOccured(MaxCollisionOccured), .RetryLimit(RetryLimit),              .LateCollision(LateCollision),
802
  .LateCollLatched(LateCollLatched),  .StartDefer(StartDefer),                     .DeferLatched(DeferLatched),
803
  .TxStartFrm(TxStartFrmOut),         .StatePreamble(StatePreamble),               .StateData(StateData),
804 125 mohor
  .CarrierSense(CarrierSense_Tx2),    .CarrierSenseLost(CarrierSenseLost),         .TxUsedData(TxUsedDataIn),
805 168 mohor
  .LatchedMRxErr(LatchedMRxErr),      .Loopback(r_LoopBck),                        .r_FullD(r_FullD)
806 15 mohor
);
807
 
808
 
809
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.