OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [tags/] [rel_7/] [rtl/] [verilog/] [eth_outputcontrol.v] - Blame information for rev 22

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  eth_outputcontrol.v                                         ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/cores/ethmac/                      ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001 Authors                                   ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44 22 mohor
// Revision 1.1  2001/08/06 14:44:29  mohor
45
// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex).
46
// Include files fixed to contain no path.
47
// File names and module names changed ta have a eth_ prologue in the name.
48
// File eth_timescale.v is used to define timescale
49
// All pin names on the top module are changed to contain _I, _O or _OE at the end.
50
// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O
51
// and Mdo_OE. The bidirectional signal must be created on the top level. This
52
// is done due to the ASIC tools.
53
//
54 15 mohor
// Revision 1.1  2001/07/30 21:23:42  mohor
55
// Directory structure changed. Files checked and joind together.
56
//
57
// Revision 1.3  2001/06/01 22:28:56  mohor
58
// This files (MIIM) are fully working. They were thoroughly tested. The testbench is not updated.
59
//
60
//
61
 
62 22 mohor
`include "timescale.v"
63 15 mohor
 
64
module eth_outputcontrol(Clk, Reset, InProgress, ShiftedBit, BitCounter, WriteOp, NoPre, MdcEn_n, Mdo, MdoEn);
65
 
66
parameter Tp = 1;
67
 
68
input         Clk;                // Host Clock
69
input         Reset;              // General Reset
70
input         WriteOp;            // Write Operation Latch (When asserted, write operation is in progress)
71
input         NoPre;              // No Preamble (no 32-bit preamble)
72
input         InProgress;         // Operation in progress
73
input         ShiftedBit;         // This bit is output of the shift register and is connected to the Mdo signal
74
input   [6:0] BitCounter;         // Bit Counter
75
input         MdcEn_n;            // MII Management Data Clock Enable signal is asserted for one Clk period before Mdc falls.
76
 
77
output        Mdo;                // MII Management Data Output
78
output        MdoEn;              // MII Management Data Output Enable
79
 
80
wire          SerialEn;
81
 
82
reg           MdoEn_2d;
83
reg           MdoEn_d;
84
reg           MdoEn;
85
 
86
reg           Mdo_2d;
87
reg           Mdo_d;
88
reg           Mdo;                // MII Management Data Output
89
 
90
 
91
 
92
// Generation of the Serial Enable signal (enables the serialization of the data)
93
assign SerialEn =  WriteOp & InProgress & ( BitCounter>31 | ( ( BitCounter == 0 ) & NoPre ) )
94
                | ~WriteOp & InProgress & (( BitCounter>31 & BitCounter<46 ) | ( ( BitCounter == 0 ) & NoPre )); // igor !!!  ali je tu res <46. To je veljalo, ko sem imel se >31 in napako 32 preamble bitov
95
 
96
 
97
// Generation of the MdoEn signal
98
always @ (posedge Clk or posedge Reset)
99
begin
100
  if(Reset)
101
    begin
102
      MdoEn_2d <= #Tp 1'b0;
103
      MdoEn_d <= #Tp 1'b0;
104
      MdoEn <= #Tp 1'b0;
105
    end
106
  else
107
    begin
108
      if(MdcEn_n)
109
        begin
110
          MdoEn_2d <= #Tp SerialEn | InProgress & BitCounter<32;
111
          MdoEn_d <= #Tp MdoEn_2d;
112
          MdoEn <= #Tp MdoEn_d;
113
        end
114
    end
115
end
116
 
117
 
118
// Generation of the Mdo signal.
119
always @ (posedge Clk or posedge Reset)
120
begin
121
  if(Reset)
122
    begin
123
      Mdo_2d <= #Tp 1'b0;
124
      Mdo_d <= #Tp 1'b0;
125
      Mdo <= #Tp 1'b0;
126
    end
127
  else
128
    begin
129
      if(MdcEn_n)
130
        begin
131
          Mdo_2d <= #Tp ~SerialEn & BitCounter<32;
132
          Mdo_d <= #Tp ShiftedBit | Mdo_2d;
133
          Mdo <= #Tp Mdo_d;
134
        end
135
    end
136
end
137
 
138
 
139
 
140
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.