OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [tags/] [rel_7/] [rtl/] [verilog/] [eth_outputcontrol.v] - Blame information for rev 37

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  eth_outputcontrol.v                                         ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6 37 mohor
////  http://www.opencores.org/projects/ethmac/                   ////
7 15 mohor
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001 Authors                                   ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44 37 mohor
// Revision 1.2  2001/10/19 08:43:51  mohor
45
// eth_timescale.v changed to timescale.v This is done because of the
46
// simulation of the few cores in a one joined project.
47
//
48 22 mohor
// Revision 1.1  2001/08/06 14:44:29  mohor
49
// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex).
50
// Include files fixed to contain no path.
51
// File names and module names changed ta have a eth_ prologue in the name.
52
// File eth_timescale.v is used to define timescale
53
// All pin names on the top module are changed to contain _I, _O or _OE at the end.
54
// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O
55
// and Mdo_OE. The bidirectional signal must be created on the top level. This
56
// is done due to the ASIC tools.
57
//
58 15 mohor
// Revision 1.1  2001/07/30 21:23:42  mohor
59
// Directory structure changed. Files checked and joind together.
60
//
61
// Revision 1.3  2001/06/01 22:28:56  mohor
62
// This files (MIIM) are fully working. They were thoroughly tested. The testbench is not updated.
63
//
64
//
65
 
66 22 mohor
`include "timescale.v"
67 15 mohor
 
68
module eth_outputcontrol(Clk, Reset, InProgress, ShiftedBit, BitCounter, WriteOp, NoPre, MdcEn_n, Mdo, MdoEn);
69
 
70
parameter Tp = 1;
71
 
72
input         Clk;                // Host Clock
73
input         Reset;              // General Reset
74
input         WriteOp;            // Write Operation Latch (When asserted, write operation is in progress)
75
input         NoPre;              // No Preamble (no 32-bit preamble)
76
input         InProgress;         // Operation in progress
77
input         ShiftedBit;         // This bit is output of the shift register and is connected to the Mdo signal
78
input   [6:0] BitCounter;         // Bit Counter
79
input         MdcEn_n;            // MII Management Data Clock Enable signal is asserted for one Clk period before Mdc falls.
80
 
81
output        Mdo;                // MII Management Data Output
82
output        MdoEn;              // MII Management Data Output Enable
83
 
84
wire          SerialEn;
85
 
86
reg           MdoEn_2d;
87
reg           MdoEn_d;
88
reg           MdoEn;
89
 
90
reg           Mdo_2d;
91
reg           Mdo_d;
92
reg           Mdo;                // MII Management Data Output
93
 
94
 
95
 
96
// Generation of the Serial Enable signal (enables the serialization of the data)
97
assign SerialEn =  WriteOp & InProgress & ( BitCounter>31 | ( ( BitCounter == 0 ) & NoPre ) )
98
                | ~WriteOp & InProgress & (( BitCounter>31 & BitCounter<46 ) | ( ( BitCounter == 0 ) & NoPre )); // igor !!!  ali je tu res <46. To je veljalo, ko sem imel se >31 in napako 32 preamble bitov
99
 
100
 
101
// Generation of the MdoEn signal
102
always @ (posedge Clk or posedge Reset)
103
begin
104
  if(Reset)
105
    begin
106
      MdoEn_2d <= #Tp 1'b0;
107
      MdoEn_d <= #Tp 1'b0;
108
      MdoEn <= #Tp 1'b0;
109
    end
110
  else
111
    begin
112
      if(MdcEn_n)
113
        begin
114
          MdoEn_2d <= #Tp SerialEn | InProgress & BitCounter<32;
115
          MdoEn_d <= #Tp MdoEn_2d;
116
          MdoEn <= #Tp MdoEn_d;
117
        end
118
    end
119
end
120
 
121
 
122
// Generation of the Mdo signal.
123
always @ (posedge Clk or posedge Reset)
124
begin
125
  if(Reset)
126
    begin
127
      Mdo_2d <= #Tp 1'b0;
128
      Mdo_d <= #Tp 1'b0;
129
      Mdo <= #Tp 1'b0;
130
    end
131
  else
132
    begin
133
      if(MdcEn_n)
134
        begin
135
          Mdo_2d <= #Tp ~SerialEn & BitCounter<32;
136
          Mdo_d <= #Tp ShiftedBit | Mdo_2d;
137
          Mdo <= #Tp Mdo_d;
138
        end
139
    end
140
end
141
 
142
 
143
 
144
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.