OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] [bench/] [verilog/] [eth_host.v] - Blame information for rev 116

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 116 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  eth_host.v                                                  ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/projects/ethmac/                   ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001, 2002 Authors                             ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44
//
45
//
46
//
47
//
48
 
49
`include "tb_eth_defines.v"
50
`include "timescale.v"
51
 
52
module eth_host
53
(
54
  // WISHBONE common
55
  wb_clk_i, wb_rst_i,
56
 
57
  // WISHBONE master
58
  wb_adr_o, wb_sel_o, wb_we_o, wb_dat_i, wb_dat_o, wb_cyc_o, wb_stb_o, wb_ack_i, wb_err_i
59
);
60
 
61
parameter Tp=1;
62
 
63
input         wb_clk_i, wb_rst_i;
64
 
65
input  [31:0] wb_dat_i;
66
input         wb_ack_i, wb_err_i;
67
 
68
output [31:0] wb_adr_o, wb_dat_o;
69
output  [3:0] wb_sel_o;
70
output        wb_cyc_o, wb_stb_o, wb_we_o;
71
 
72
reg    [31:0] wb_adr_o, wb_dat_o;
73
reg     [3:0] wb_sel_o;
74
reg           wb_cyc_o, wb_stb_o, wb_we_o;
75
 
76
integer host_log;
77
 
78
// Reset pulse
79
initial
80
begin
81
  host_log = $fopen("eth_host.log");
82
end
83
 
84
 
85
task wb_write;
86
 
87
  input  [31:0] addr;
88
  input   [3:0] sel;
89
  input  [31:0] data;
90
 
91
  begin
92
    @ (posedge wb_clk_i);   // Sync. with clock
93
    #1;
94
    wb_adr_o = addr;
95
    wb_dat_o = data;
96
    wb_sel_o = sel;
97
    wb_cyc_o = 1;
98
    wb_stb_o = 1;
99
    wb_we_o  = 1;
100
 
101
    wait(wb_ack_i | wb_err_i);
102
    $fdisplay(host_log, "(%0t)(%m)wb_write (0x%0x) = 0x%0x", $time, wb_adr_o, wb_dat_o);
103
    @ (posedge wb_clk_i);   // Sync. with clock
104
    #1;
105
    wb_adr_o = 'hx;
106
    wb_dat_o = 'hx;
107
    wb_sel_o = 'hx;
108
    wb_cyc_o = 0;
109
    wb_stb_o = 0;
110
    wb_we_o  = 'hx;
111
  end
112
endtask
113
 
114
 
115
task wb_read;
116
 
117
  input  [31:0] addr;
118
  input   [3:0] sel;
119
  output [31:0] data;
120
 
121
  begin
122
    @ (posedge wb_clk_i);   // Sync. with clock
123
    #1;
124
    wb_adr_o = addr;
125
    wb_sel_o = sel;
126
    wb_cyc_o = 1;
127
    wb_stb_o = 1;
128
    wb_we_o  = 0;
129
 
130
    wait(wb_ack_i | wb_err_i);
131
    @ (posedge wb_clk_i);   // Sync. with clock
132
    data = wb_dat_i;
133
    $fdisplay(host_log, "(%0t)(%m)wb_read (0x%0x) = 0x%0x", $time, wb_adr_o, wb_dat_i);
134
    #1;
135
    wb_adr_o = 'hx;
136
    wb_sel_o = 'hx;
137
    wb_cyc_o = 0;
138
    wb_stb_o = 0;
139
    wb_we_o  = 'hx;
140
  end
141
endtask
142
 
143
 
144
 
145
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.