OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] [bench/] [verilog/] [tb_ethernet_with_cop.v] - Blame information for rev 189

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 189 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  tb_ethernet_with_cop.v                                      ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6
////  http://www.opencores.org/projects/ethmac/                   ////
7
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////                                                              ////
11
////  All additional information is avaliable in the Readme.txt   ////
12
////  file.                                                       ////
13
////                                                              ////
14
//////////////////////////////////////////////////////////////////////
15
////                                                              ////
16
//// Copyright (C) 2001, 2002 Authors                             ////
17
////                                                              ////
18
//// This source file may be used and distributed without         ////
19
//// restriction provided that this copyright statement is not    ////
20
//// removed from the file and that any derivative work contains  ////
21
//// the original copyright notice and the associated disclaimer. ////
22
////                                                              ////
23
//// This source file is free software; you can redistribute it   ////
24
//// and/or modify it under the terms of the GNU Lesser General   ////
25
//// Public License as published by the Free Software Foundation; ////
26
//// either version 2.1 of the License, or (at your option) any   ////
27
//// later version.                                               ////
28
////                                                              ////
29
//// This source is distributed in the hope that it will be       ////
30
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
31
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
32
//// PURPOSE.  See the GNU Lesser General Public License for more ////
33
//// details.                                                     ////
34
////                                                              ////
35
//// You should have received a copy of the GNU Lesser General    ////
36
//// Public License along with this source; if not, download it   ////
37
//// from http://www.opencores.org/lgpl.shtml                     ////
38
////                                                              ////
39
//////////////////////////////////////////////////////////////////////
40
//
41
// CVS Revision History
42
//
43
// $Log: not supported by cvs2svn $
44
//
45
//
46
//
47
 
48
 
49
 
50
`include "tb_eth_defines.v"
51
`include "eth_defines.v"
52
`include "timescale.v"
53
 
54
module tb_ethernet_with_cop();
55
 
56
 
57
parameter Tp = 1;
58
 
59
 
60
reg           wb_clk_o;
61
reg           wb_rst_o;
62
 
63
reg           mtx_clk;
64
reg           mrx_clk;
65
 
66
wire   [3:0]  MTxD;
67
wire          MTxEn;
68
wire          MTxErr;
69
 
70
reg    [3:0]  MRxD;     // This goes to PHY
71
reg           MRxDV;    // This goes to PHY
72
reg           MRxErr;   // This goes to PHY
73
reg           MColl;    // This goes to PHY
74
reg           MCrs;     // This goes to PHY
75
 
76
wire          Mdi_I;
77
wire          Mdo_O;
78
wire          Mdo_OE;
79
wire          Mdc_O;
80
 
81
integer tx_log;
82
integer rx_log;
83
 
84
reg StartTB;
85
 
86
`ifdef ETH_XILINX_RAMB4
87
  reg gsr;
88
`endif
89
 
90
 
91
integer packet_ready_cnt, send_packet_cnt;
92
 
93
 
94
// Ethernet Slave Interface signals
95
wire [31:0] eth_sl_wb_adr_i, eth_sl_wb_dat_o, eth_sl_wb_dat_i;
96
wire  [3:0] eth_sl_wb_sel_i;
97
wire        eth_sl_wb_we_i, eth_sl_wb_cyc_i, eth_sl_wb_stb_i, eth_sl_wb_ack_o, eth_sl_wb_err_o;
98
 
99
// Memory Slave Interface signals
100
wire [31:0] mem_sl_wb_adr_i, mem_sl_wb_dat_o, mem_sl_wb_dat_i;
101
wire  [3:0] mem_sl_wb_sel_i;
102
wire        mem_sl_wb_we_i, mem_sl_wb_cyc_i, mem_sl_wb_stb_i, mem_sl_wb_ack_o, mem_sl_wb_err_o;
103
 
104
// Ethernet Master Interface signals
105
wire [31:0] eth_ma_wb_adr_o, eth_ma_wb_dat_i, eth_ma_wb_dat_o;
106
wire  [3:0] eth_ma_wb_sel_o;
107
wire        eth_ma_wb_we_o, eth_ma_wb_cyc_o, eth_ma_wb_stb_o, eth_ma_wb_ack_i, eth_ma_wb_err_i;
108
 
109
// Host Master Interface signals
110
wire [31:0] host_ma_wb_adr_o, host_ma_wb_dat_i, host_ma_wb_dat_o;
111
wire  [3:0] host_ma_wb_sel_o;
112
wire        host_ma_wb_we_o, host_ma_wb_cyc_o, host_ma_wb_stb_o, host_ma_wb_ack_i, host_ma_wb_err_i;
113
 
114
 
115
 
116
eth_cop i_eth_cop
117
(
118
  // WISHBONE common
119
  .wb_clk_i(wb_clk_o), .wb_rst_i(wb_rst_o),
120
 
121
  // WISHBONE MASTER 1  Ethernet Master Interface is connected here
122
  .m1_wb_adr_i(eth_ma_wb_adr_o),  .m1_wb_sel_i(eth_ma_wb_sel_o),  .m1_wb_we_i (eth_ma_wb_we_o),
123
  .m1_wb_dat_o(eth_ma_wb_dat_i),  .m1_wb_dat_i(eth_ma_wb_dat_o),  .m1_wb_cyc_i(eth_ma_wb_cyc_o),
124
  .m1_wb_stb_i(eth_ma_wb_stb_o),  .m1_wb_ack_o(eth_ma_wb_ack_i),  .m1_wb_err_o(eth_ma_wb_err_i),
125
 
126
  // WISHBONE MASTER 2  Host Interface is connected here
127
  .m2_wb_adr_i(host_ma_wb_adr_o), .m2_wb_sel_i(host_ma_wb_sel_o), .m2_wb_we_i (host_ma_wb_we_o),
128
  .m2_wb_dat_o(host_ma_wb_dat_i), .m2_wb_dat_i(host_ma_wb_dat_o), .m2_wb_cyc_i(host_ma_wb_cyc_o),
129
  .m2_wb_stb_i(host_ma_wb_stb_o), .m2_wb_ack_o(host_ma_wb_ack_i), .m2_wb_err_o(host_ma_wb_err_i),
130
 
131
  // WISHBONE slave 1   Ethernet Slave Interface is connected here
132
        .s1_wb_adr_o(eth_sl_wb_adr_i),  .s1_wb_sel_o(eth_sl_wb_sel_i),  .s1_wb_we_o (eth_sl_wb_we_i),
133
        .s1_wb_cyc_o(eth_sl_wb_cyc_i),  .s1_wb_stb_o(eth_sl_wb_stb_i),  .s1_wb_ack_i(eth_sl_wb_ack_o),
134
        .s1_wb_err_i(eth_sl_wb_err_o),  .s1_wb_dat_i(eth_sl_wb_dat_o),  .s1_wb_dat_o(eth_sl_wb_dat_i),
135
 
136
  // WISHBONE slave 2   Memory Interface is connected here
137
        .s2_wb_adr_o(mem_sl_wb_adr_i),  .s2_wb_sel_o(mem_sl_wb_sel_i),  .s2_wb_we_o (mem_sl_wb_we_i),
138
        .s2_wb_cyc_o(mem_sl_wb_cyc_i),  .s2_wb_stb_o(mem_sl_wb_stb_i),  .s2_wb_ack_i(mem_sl_wb_ack_o),
139
        .s2_wb_err_i(mem_sl_wb_err_o),  .s2_wb_dat_i(mem_sl_wb_dat_o),  .s2_wb_dat_o(mem_sl_wb_dat_i)
140
);
141
 
142
 
143
 
144
 
145
// Connecting Ethernet top module
146
eth_top ethtop
147
(
148
  // WISHBONE common
149
  .wb_clk_i(wb_clk_o),              .wb_rst_i(wb_rst_o),
150
 
151
  // WISHBONE slave
152
        .wb_adr_i(eth_sl_wb_adr_i[11:2]), .wb_sel_i(eth_sl_wb_sel_i),   .wb_we_i(eth_sl_wb_we_i),
153
        .wb_cyc_i(eth_sl_wb_cyc_i),       .wb_stb_i(eth_sl_wb_stb_i),   .wb_ack_o(eth_sl_wb_ack_o),
154
        .wb_err_o(eth_sl_wb_err_o),       .wb_dat_i(eth_sl_wb_dat_i),   .wb_dat_o(eth_sl_wb_dat_o),
155
 
156
  // WISHBONE master
157
  .m_wb_adr_o(eth_ma_wb_adr_o),     .m_wb_sel_o(eth_ma_wb_sel_o), .m_wb_we_o(eth_ma_wb_we_o),
158
  .m_wb_dat_i(eth_ma_wb_dat_i),     .m_wb_dat_o(eth_ma_wb_dat_o), .m_wb_cyc_o(eth_ma_wb_cyc_o),
159
  .m_wb_stb_o(eth_ma_wb_stb_o),     .m_wb_ack_i(eth_ma_wb_ack_i), .m_wb_err_i(eth_ma_wb_err_i),
160
 
161
  //TX
162
  .mtx_clk_pad_i(mtx_clk), .mtxd_pad_o(MTxD), .mtxen_pad_o(MTxEn), .mtxerr_pad_o(MTxErr),
163
 
164
  //RX
165
  .mrx_clk_pad_i(mrx_clk), .mrxd_pad_i(MRxD), .mrxdv_pad_i(MRxDV), .mrxerr_pad_i(MRxErr),
166
  .mcoll_pad_i(MColl),    .mcrs_pad_i(MCrs),
167
 
168
  // MIIM
169
  .mdc_pad_o(Mdc_O), .md_pad_i(Mdi_I), .md_pad_o(Mdo_O), .md_padoe_o(Mdo_OE),
170
 
171
  .int_o()
172
);
173
 
174
 
175
 
176
// Connecting Memory Interface Module
177
eth_memory i_eth_memory
178
(
179
  // WISHBONE common
180
        .wb_clk_i(wb_clk_o),         .wb_rst_i(wb_rst_o),
181
 
182
  // WISHBONE slave:   Memory Interface is connected here
183
        .wb_adr_i(mem_sl_wb_adr_i),  .wb_sel_i(mem_sl_wb_sel_i),  .wb_we_i (mem_sl_wb_we_i),
184
        .wb_cyc_i(mem_sl_wb_cyc_i),  .wb_stb_i(mem_sl_wb_stb_i),  .wb_ack_o(mem_sl_wb_ack_o),
185
        .wb_err_o(mem_sl_wb_err_o),  .wb_dat_o(mem_sl_wb_dat_o),  .wb_dat_i(mem_sl_wb_dat_i)
186
);
187
 
188
 
189
// Connecting Host Interface
190
eth_host eth_host
191
(
192
  // WISHBONE common
193
  .wb_clk_i(wb_clk_o),         .wb_rst_i(wb_rst_o),
194
 
195
  // WISHBONE master
196
  .wb_adr_o(host_ma_wb_adr_o), .wb_sel_o(host_ma_wb_sel_o), .wb_we_o (host_ma_wb_we_o),
197
  .wb_dat_i(host_ma_wb_dat_i), .wb_dat_o(host_ma_wb_dat_o), .wb_cyc_o(host_ma_wb_cyc_o),
198
  .wb_stb_o(host_ma_wb_stb_o), .wb_ack_i(host_ma_wb_ack_i), .wb_err_i(host_ma_wb_err_i)
199
);
200
 
201
 
202
 
203
 
204
 
205
// Reset pulse
206
initial
207
begin
208
  MCrs=0;                                     // This should come from PHY
209
  MColl=0;                                    // This should come from PHY
210
  MRxD=0;                                     // This should come from PHY
211
  MRxDV=0;                                    // This should come from PHY
212
  MRxErr=0;                                   // This should come from PHY
213
  packet_ready_cnt = 0;
214
  send_packet_cnt = 0;
215
  tx_log = $fopen("ethernet_tx.log");
216
  rx_log = $fopen("ethernet_rx.log");
217
  wb_rst_o =  1'b1;
218
`ifdef ETH_XILINX_RAMB4
219
  gsr           =  1'b0;
220
  #100 gsr      =  1'b1;
221
  #100 gsr      =  1'b0;
222
`endif
223
  #100 wb_rst_o =  1'b0;
224
  #100 StartTB  =  1'b1;
225
end
226
 
227
`ifdef ETH_XILINX_RAMB4
228
  assign glbl.GSR = gsr;
229
`endif
230
 
231
 
232
 
233
// Generating wb_clk_o clock
234
initial
235
begin
236
  wb_clk_o=0;
237
  forever #20 wb_clk_o = ~wb_clk_o;  // 2*20 ns -> 25 MHz    
238
end
239
 
240
// Generating mtx_clk clock
241
initial
242
begin
243
  mtx_clk=0;
244
  #3 forever #20 mtx_clk = ~mtx_clk;   // 2*20 ns -> 25 MHz
245
end
246
 
247
// Generating mrx_clk clock
248
initial
249
begin
250
  mrx_clk=0;
251
  #16 forever #20 mrx_clk = ~mrx_clk;   // 2*20 ns -> 25 MHz
252
end
253
 
254
reg [31:0] tmp;
255
initial
256
begin
257
  wait(StartTB);  // Start of testbench
258
 
259
 
260
  eth_host.wb_write(`ETH_MODER, 4'hf, 32'h0); // Reset OFF
261
  eth_host.wb_read(`ETH_MODER, 4'hf, tmp);
262
  eth_host.wb_write(`ETH_MAC_ADDR1, 4'hf, 32'h0002); // Set ETH_MAC_ADDR1 register
263
  eth_host.wb_write(`ETH_MAC_ADDR0, 4'hf, 32'h03040506); // Set ETH_MAC_ADDR0 register
264
 
265
  initialize_txbd(3);
266
  initialize_rxbd(2);
267
 
268
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO | 
269
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
270
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | 
271
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
272
  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_BRO |
273
                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD); // Set MODER register
274
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO | 
275
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD | `ETH_MODER_LOOPBCK); // Set MODER register
276
//  eth_host.wb_write(`ETH_MODER, 4'hf, `ETH_MODER_RXEN  | `ETH_MODER_TXEN | `ETH_MODER_PRO | 
277
//                                      `ETH_MODER_CRCEN | `ETH_MODER_PAD | `ETH_MODER_LOOPBCK | 
278
//                                      `ETH_MODER_FULLD); // Set MODER register
279
  eth_host.wb_read(`ETH_MODER, 4'hf, tmp);
280
 
281
  set_packet(16'h64, 8'h1);
282
  set_packet(16'h34, 8'h11);
283
  send_packet;
284
  set_packet(16'h34, 8'h21);
285
  set_packet(16'h34, 8'h31);
286
/*
287
  eth_host.wb_write(`ETH_CTRLMODER, 4'hf, 32'h4);   // Enable Tx Flow control
288
  eth_host.wb_write(`ETH_CTRLMODER, 4'hf, 32'h5);   // Enable Tx Flow control
289
  eth_host.wb_write(`ETH_TX_CTRL, 4'hf, 32'h10013); // Send Control frame with PAUSE_TV=0x0013
290
*/
291
  send_packet;
292
 
293
 
294
  GetDataOnMRxD(100, `UNICAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
295
 
296
  repeat (1000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
297
 
298
  GetDataOnMRxD(500, `BROADCAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
299
 
300
  repeat (1000) @(posedge mrx_clk);   // Waiting for TxEthMac to finish transmit
301
 
302
 
303
  GetDataOnMRxD(1200, `BROADCAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
304
 
305
 
306
  GetDataOnMRxD(1000, `UNICAST_XFR); // LengthRx bytes is comming on MRxD[3:0] signals
307
 
308
  repeat (10000) @(posedge wb_clk_o);   // Waiting for TxEthMac to finish transmit
309
 
310
  // Reading and printing interrupts
311
  eth_host.wb_read(`ETH_INT, 4'hf, tmp);
312
  $display("Print irq = 0x%0x", tmp);
313
 
314
  //Clearing all interrupts
315
  eth_host.wb_write(`ETH_INT, 4'hf, 32'h60);
316
 
317
  // Reading and printing interrupts
318
  eth_host.wb_read(`ETH_INT, 4'hf, tmp);
319
  $display("Print irq = 0x%0x", tmp);
320
 
321
  $display("\n\n End of simulation");
322
  $stop;
323
 
324
 
325
 
326
end
327
 
328
 
329
 
330
task initialize_txbd;
331
  input [6:0] txbd_num;
332
 
333
  integer i;
334
  integer bd_status_addr, buf_addr, bd_ptr_addr;
335
 
336
  for(i=0; i<txbd_num; i=i+1) begin
337
    buf_addr = `TX_BUF_BASE + i * 32'h600;
338
    bd_status_addr = `TX_BD_BASE + i * 8;
339
    bd_ptr_addr = bd_status_addr + 4;
340
 
341
    // Initializing BD - status
342
    if(i==txbd_num-1)
343
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h00007800);    // last BD: + WRAP
344
    else
345
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h00005800);    // IRQ + PAD + CRC
346
 
347
    eth_host.wb_write(bd_ptr_addr, 4'hf, buf_addr);             // Initializing BD - pointer
348
  end
349
endtask // initialize_txbd
350
 
351
 
352
task initialize_rxbd;
353
  input [6:0] rxbd_num;
354
 
355
  integer i;
356
  integer bd_status_addr, buf_addr, bd_ptr_addr;
357
 
358
  for(i=0; i<rxbd_num; i=i+1) begin
359
    buf_addr = `RX_BUF_BASE + i * 32'h600;
360
    bd_status_addr = `RX_BD_BASE + i * 8;
361
    bd_ptr_addr = bd_status_addr + 4;
362
 
363
    // Initializing BD - status
364
    if(i==rxbd_num-1)
365
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h0000e000);    // last BD: + WRAP
366
    else
367
      eth_host.wb_write(bd_status_addr, 4'hf, 32'h0000c000);    // IRQ + PAD + CRC
368
 
369
    eth_host.wb_write(bd_ptr_addr, 4'hf, buf_addr);             // Initializing BD - pointer
370
  end
371
endtask // initialize_rxbd
372
 
373
 
374
task set_packet;
375
  input  [15:0] len;
376
  input   [7:0] start_data;
377
 
378
  integer i, sd;
379
  integer bd_status_addr, bd_ptr_addr, buffer, bd;
380
 
381
  begin
382
    sd = start_data;
383
    bd_status_addr = `TX_BD_BASE + packet_ready_cnt * 8;
384
    bd_ptr_addr = bd_status_addr + 4;
385
 
386
    // Reading BD + buffer pointer
387
    eth_host.wb_read(bd_status_addr, 4'hf, bd);
388
    eth_host.wb_read(bd_ptr_addr, 4'hf, buffer);
389
 
390
    while(bd & `ETH_TX_BD_READY) begin  // Buffer is ready. Don't touch !!!
391
      repeat(100) @(posedge wb_clk_o);
392
      i=i+1;
393
      eth_host.wb_read(bd_status_addr, 4'hf, bd);
394
      if(i>1000)  begin
395
        $display("(%0t)(%m)Waiting for TxBD ready to clear timeout", $time);
396
        $stop;
397
      end
398
    end
399
 
400
    // First write might not be word allign.
401
    if(buffer[1:0]==1)  begin
402
      eth_host.wb_write(buffer-1, 4'h7, {8'h0, sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2});
403
      sd=sd+3;
404
      i=3;
405
    end
406
    else if(buffer[1:0]==2)  begin
407
      eth_host.wb_write(buffer-2, 4'h3, {16'h0, sd[7:0], sd[7:0]+3'h1});
408
      sd=sd+2;
409
      i=2;
410
    end
411
    else if(buffer[1:0]==3)  begin
412
      eth_host.wb_write(buffer-3, 4'h1, {24'h0, sd[7:0]});
413
      sd=sd+1;
414
      i=1;
415
    end
416
    else
417
      i=0;
418
 
419
 
420
    for(i=i; i<len-4; i=i+4) begin   // Last 0-3 bytes are not written
421
      eth_host.wb_write(buffer+i, 4'hf, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, sd[7:0]+3'h3});
422
      sd=sd+4;
423
    end
424
 
425
 
426
    // Last word
427
    if(len-i==3)
428
      eth_host.wb_write(buffer+i, 4'he, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, 8'h0});
429
    else if(len-i==2)
430
      eth_host.wb_write(buffer+i, 4'hc, {sd[7:0], sd[7:0]+3'h1, 16'h0});
431
    else if(len-i==1)
432
      eth_host.wb_write(buffer+i, 4'h8, {sd[7:0], 24'h0});
433
    else if(len-i==4)
434
      eth_host.wb_write(buffer+i, 4'hf, {sd[7:0], sd[7:0]+3'h1, sd[7:0]+3'h2, sd[7:0]+3'h3});
435
    else
436
      $display("(%0t)(%m) ERROR", $time);
437
 
438
 
439
    // Checking WRAP bit
440
    if(bd & `ETH_TX_BD_WRAP)
441
      packet_ready_cnt = 0;
442
    else
443
      packet_ready_cnt = packet_ready_cnt+1;
444
 
445
    // Writing len to bd
446
    bd = bd | (len<<16);
447
    eth_host.wb_write(bd_status_addr, 4'hf, bd);
448
 
449
  end
450
endtask // set_packet
451
 
452
 
453
task send_packet;
454
 
455
  integer bd_status_addr, bd_ptr_addr, buffer, bd;
456
 
457
  begin
458
    bd_status_addr = `TX_BD_BASE + send_packet_cnt * 8;
459
    bd_ptr_addr = bd_status_addr + 4;
460
 
461
    // Reading BD + buffer pointer
462
    eth_host.wb_read(bd_status_addr, 4'hf, bd);
463
    eth_host.wb_read(bd_ptr_addr, 4'hf, buffer);
464
 
465
    if(bd & `ETH_TX_BD_WRAP)
466
      send_packet_cnt=0;
467
    else
468
      send_packet_cnt=send_packet_cnt+1;
469
 
470
    // Setting ETH_TX_BD_READY bit
471
    bd = bd | `ETH_TX_BD_READY;
472
    eth_host.wb_write(bd_status_addr, 4'hf, bd);
473
  end
474
 
475
 
476
endtask // send_packet
477
 
478
 
479
task GetDataOnMRxD;
480
  input [15:0] Len;
481
  input [31:0] TransferType;
482
  integer tt;
483
 
484
  begin
485
    @ (posedge mrx_clk);
486
    #1MRxDV=1'b1;
487
 
488
    for(tt=0; tt<15; tt=tt+1)
489
      begin
490
        MRxD=4'h5;              // preamble
491
        @ (posedge mrx_clk);
492
        #1;
493
      end
494
 
495
    MRxD=4'hd;                // SFD
496
 
497
    for(tt=1; tt<(Len+1); tt=tt+1)
498
      begin
499
        @ (posedge mrx_clk);
500
        #1;
501
            if(TransferType == `UNICAST_XFR && tt == 1)
502
                MRxD= 4'h0;   // Unicast transfer
503
              else if(TransferType == `BROADCAST_XFR && tt < 7)
504
                MRxD = 4'hf;
505
              else
506
          MRxD=tt[3:0]; // Multicast transfer
507
 
508
        @ (posedge mrx_clk);
509
              #1;
510
              if(TransferType == `BROADCAST_XFR && tt < 7)
511
                MRxD = 4'hf;
512
              else
513
          MRxD=tt[7:4];
514
      end
515
 
516
    @ (posedge mrx_clk);
517
    #1;
518
    MRxDV=1'b0;
519
  end
520
endtask // GetDataOnMRxD
521
 
522
 
523
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.