OpenCores
URL https://opencores.org/ocsvn/ethmac/ethmac/trunk

Subversion Repositories ethmac

[/] [ethmac/] [trunk/] [rtl/] [verilog/] [eth_random.v] - Blame information for rev 354

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 mohor
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  eth_random.v                                                ////
4
////                                                              ////
5
////  This file is part of the Ethernet IP core project           ////
6 346 olof
////  http://www.opencores.org/project,ethmac                     ////
7 15 mohor
////                                                              ////
8
////  Author(s):                                                  ////
9
////      - Igor Mohor (igorM@opencores.org)                      ////
10
////      - Novan Hartadi (novan@vlsi.itb.ac.id)                  ////
11
////      - Mahmud Galela (mgalela@vlsi.itb.ac.id)                ////
12
////                                                              ////
13
////  All additional information is avaliable in the Readme.txt   ////
14
////  file.                                                       ////
15
////                                                              ////
16
//////////////////////////////////////////////////////////////////////
17
////                                                              ////
18
//// Copyright (C) 2001 Authors                                   ////
19
////                                                              ////
20
//// This source file may be used and distributed without         ////
21
//// restriction provided that this copyright statement is not    ////
22
//// removed from the file and that any derivative work contains  ////
23
//// the original copyright notice and the associated disclaimer. ////
24
////                                                              ////
25
//// This source file is free software; you can redistribute it   ////
26
//// and/or modify it under the terms of the GNU Lesser General   ////
27
//// Public License as published by the Free Software Foundation; ////
28
//// either version 2.1 of the License, or (at your option) any   ////
29
//// later version.                                               ////
30
////                                                              ////
31
//// This source is distributed in the hope that it will be       ////
32
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
33
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
34
//// PURPOSE.  See the GNU Lesser General Public License for more ////
35
//// details.                                                     ////
36
////                                                              ////
37
//// You should have received a copy of the GNU Lesser General    ////
38
//// Public License along with this source; if not, download it   ////
39
//// from http://www.opencores.org/lgpl.shtml                     ////
40
////                                                              ////
41
//////////////////////////////////////////////////////////////////////
42
//
43
// CVS Revision History
44
//
45
// $Log: not supported by cvs2svn $
46 285 mohor
// Revision 1.3  2002/01/23 10:28:16  mohor
47
// Link in the header changed.
48
//
49 37 mohor
// Revision 1.2  2001/10/19 08:43:51  mohor
50
// eth_timescale.v changed to timescale.v This is done because of the
51
// simulation of the few cores in a one joined project.
52
//
53 22 mohor
// Revision 1.1  2001/08/06 14:44:29  mohor
54
// A define FPGA added to select between Artisan RAM (for ASIC) and Block Ram (For Virtex).
55
// Include files fixed to contain no path.
56
// File names and module names changed ta have a eth_ prologue in the name.
57
// File eth_timescale.v is used to define timescale
58
// All pin names on the top module are changed to contain _I, _O or _OE at the end.
59
// Bidirectional signal MDIO is changed to three signals (Mdc_O, Mdi_I, Mdo_O
60
// and Mdo_OE. The bidirectional signal must be created on the top level. This
61
// is done due to the ASIC tools.
62
//
63 15 mohor
// Revision 1.1  2001/07/30 21:23:42  mohor
64
// Directory structure changed. Files checked and joind together.
65
//
66
// Revision 1.3  2001/06/19 18:16:40  mohor
67
// TxClk changed to MTxClk (as discribed in the documentation).
68
// Crc changed so only one file can be used instead of two.
69
//
70
// Revision 1.2  2001/06/19 10:38:07  mohor
71
// Minor changes in header.
72
//
73
// Revision 1.1  2001/06/19 10:27:57  mohor
74
// TxEthMAC initial release.
75
//
76
//
77
//
78
//
79
 
80 22 mohor
`include "timescale.v"
81 15 mohor
 
82
module eth_random (MTxClk, Reset, StateJam, StateJam_q, RetryCnt, NibCnt, ByteCnt,
83
                   RandomEq0, RandomEqByteCnt);
84
 
85
input MTxClk;
86
input Reset;
87
input StateJam;
88
input StateJam_q;
89
input [3:0] RetryCnt;
90
input [15:0] NibCnt;
91
input [9:0] ByteCnt;
92
output RandomEq0;
93
output RandomEqByteCnt;
94
 
95
wire Feedback;
96
reg [9:0] x;
97
wire [9:0] Random;
98
reg  [9:0] RandomLatched;
99
 
100
 
101
always @ (posedge MTxClk or posedge Reset)
102
begin
103
  if(Reset)
104 352 olof
    x[9:0] <=  0;
105 15 mohor
  else
106 352 olof
    x[9:0] <=  {x[8:0], Feedback};
107 15 mohor
end
108
 
109 285 mohor
assign Feedback = ~(x[2] ^ x[9]);
110 15 mohor
 
111
assign Random [0] = x[0];
112
assign Random [1] = (RetryCnt > 1) ? x[1] : 1'b0;
113
assign Random [2] = (RetryCnt > 2) ? x[2] : 1'b0;
114
assign Random [3] = (RetryCnt > 3) ? x[3] : 1'b0;
115
assign Random [4] = (RetryCnt > 4) ? x[4] : 1'b0;
116
assign Random [5] = (RetryCnt > 5) ? x[5] : 1'b0;
117
assign Random [6] = (RetryCnt > 6) ? x[6] : 1'b0;
118
assign Random [7] = (RetryCnt > 7) ? x[7] : 1'b0;
119
assign Random [8] = (RetryCnt > 8) ? x[8] : 1'b0;
120
assign Random [9] = (RetryCnt > 9) ? x[9] : 1'b0;
121
 
122
 
123
always @ (posedge MTxClk or posedge Reset)
124
begin
125
  if(Reset)
126 352 olof
    RandomLatched <=  10'h000;
127 15 mohor
  else
128
    begin
129
      if(StateJam & StateJam_q)
130 352 olof
        RandomLatched <=  Random;
131 15 mohor
    end
132
end
133
 
134
// Random Number == 0      IEEE 802.3 page 68. If 0 we go to defer and not to backoff.
135
assign RandomEq0 = RandomLatched == 10'h0;
136
 
137
assign RandomEqByteCnt = ByteCnt[9:0] == RandomLatched & (&NibCnt[6:0]);
138
 
139
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.