OpenCores
URL https://opencores.org/ocsvn/forwardcom/forwardcom/trunk

Subversion Repositories forwardcom

[/] [forwardcom/] [trunk/] [Nexys-A7-100T.xdc] - Blame information for rev 28

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 28 Agner
## This file is a general .xdc for the Nexys A7-100T
2
## To use it in a project:
3
## - uncomment the lines corresponding to used pins
4
## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project
5
 
6
# Engineer:       Agner Fog
7
# Create Date:    2020-06-06
8
# Last modified:  2021-07-19
9
# Project Name:   ForwardCom soft core A
10
# Target Devices: Artix 7
11
# Tool Versions:  Vivado v. 2020.1
12
 
13
##Switches
14
set_property -dict {PACKAGE_PIN J15 IOSTANDARD LVCMOS33} [get_ports switch0]
15
set_property -dict {PACKAGE_PIN L16 IOSTANDARD LVCMOS33} [get_ports switch1]
16
set_property -dict {PACKAGE_PIN M13 IOSTANDARD LVCMOS33} [get_ports switch2]
17
set_property -dict {PACKAGE_PIN R15 IOSTANDARD LVCMOS33} [get_ports switch3]
18
set_property -dict {PACKAGE_PIN R17 IOSTANDARD LVCMOS33} [get_ports switch4]
19
set_property -dict {PACKAGE_PIN T18 IOSTANDARD LVCMOS33} [get_ports switch5]
20
set_property -dict {PACKAGE_PIN U18 IOSTANDARD LVCMOS33} [get_ports switch6]
21
set_property -dict {PACKAGE_PIN R13 IOSTANDARD LVCMOS33} [get_ports switch7]
22
set_property -dict {PACKAGE_PIN T8 IOSTANDARD LVCMOS18} [get_ports switch8]
23
#set_property -dict { PACKAGE_PIN U8    IOSTANDARD LVCMOS18 } [get_ports { switch[9] }]; #IO_25_34 Sch=sw[9]
24
#set_property -dict { PACKAGE_PIN R16   IOSTANDARD LVCMOS33 } [get_ports { switch[10] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=sw[10]
25
#set_property -dict { PACKAGE_PIN T13   IOSTANDARD LVCMOS33 } [get_ports { switch[11] }]; #IO_L23P_T3_A03_D19_14 Sch=sw[11]
26
#set_property -dict { PACKAGE_PIN H6    IOSTANDARD LVCMOS33 } [get_ports { switch12 }]; #IO_L24P_T3_35 Sch=sw[12]
27
#set_property -dict { PACKAGE_PIN U12   IOSTANDARD LVCMOS33 } [get_ports { switch13 }]; #IO_L20P_T3_A08_D24_14 Sch=sw[13]
28
#set_property -dict {PACKAGE_PIN U11 IOSTANDARD LVCMOS33} [get_ports switch14]
29
set_property -dict {PACKAGE_PIN V10 IOSTANDARD LVCMOS33} [get_ports switch15]
30
 
31
## LEDs
32
set_property -dict {PACKAGE_PIN H17 IOSTANDARD LVCMOS33} [get_ports led0]
33
set_property -dict {PACKAGE_PIN K15 IOSTANDARD LVCMOS33} [get_ports led1]
34
set_property -dict {PACKAGE_PIN J13 IOSTANDARD LVCMOS33} [get_ports led2]
35
set_property -dict {PACKAGE_PIN N14 IOSTANDARD LVCMOS33} [get_ports led3]
36
set_property -dict {PACKAGE_PIN R18 IOSTANDARD LVCMOS33} [get_ports led4]
37
set_property -dict {PACKAGE_PIN V17 IOSTANDARD LVCMOS33} [get_ports led5]
38
set_property -dict {PACKAGE_PIN U17 IOSTANDARD LVCMOS33} [get_ports led6]
39
set_property -dict {PACKAGE_PIN U16 IOSTANDARD LVCMOS33} [get_ports led7]
40
#set_property -dict { PACKAGE_PIN V16   IOSTANDARD LVCMOS33 } [get_ports { LED[8] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8]
41
#set_property -dict { PACKAGE_PIN T15   IOSTANDARD LVCMOS33 } [get_ports { LED[9] }]; #IO_L14N_T2_SRCC_14 Sch=led[9]
42
#set_property -dict { PACKAGE_PIN U14   IOSTANDARD LVCMOS33 } [get_ports { LED[10] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10]
43
#set_property -dict { PACKAGE_PIN T16   IOSTANDARD LVCMOS33 } [get_ports { LED[11] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11]
44
set_property -dict {PACKAGE_PIN V15 IOSTANDARD LVCMOS33} [get_ports led12]
45
set_property -dict {PACKAGE_PIN V14 IOSTANDARD LVCMOS33} [get_ports led13]
46
set_property -dict {PACKAGE_PIN V12 IOSTANDARD LVCMOS33} [get_ports led14]
47
set_property -dict {PACKAGE_PIN V11 IOSTANDARD LVCMOS33} [get_ports led15]
48
 
49
## RGB LEDs
50
set_property -dict {PACKAGE_PIN R12 IOSTANDARD LVCMOS33} [get_ports led16B]; #IO_L5P_T0_D06_14 Sch=led16_b
51
set_property -dict {PACKAGE_PIN M16 IOSTANDARD LVCMOS33} [get_ports led16G]; #IO_L10P_T1_D14_14 Sch=led16_g
52
set_property -dict {PACKAGE_PIN N15 IOSTANDARD LVCMOS33} [get_ports led16R]; #IO_L11P_T1_SRCC_14 Sch=led16_r
53
#set_property -dict { PACKAGE_PIN G14   IOSTANDARD LVCMOS33 } [get_ports { LED17_B }]; #IO_L15N_T2_DQS_ADV_B_15 Sch=led17_b
54
#set_property -dict { PACKAGE_PIN R11   IOSTANDARD LVCMOS33 } [get_ports { LED17_G }]; #IO_0_14 Sch=led17_g
55
#set_property -dict { PACKAGE_PIN N16   IOSTANDARD LVCMOS33 } [get_ports { LED17_R }]; #IO_L11N_T1_SRCC_14 Sch=led17_r
56
 
57
##7 segment display
58
set_property -dict {PACKAGE_PIN T10 IOSTANDARD LVCMOS33} [get_ports {segment7seg[0]}]
59
set_property -dict {PACKAGE_PIN R10 IOSTANDARD LVCMOS33} [get_ports {segment7seg[1]}]
60
set_property -dict {PACKAGE_PIN K16 IOSTANDARD LVCMOS33} [get_ports {segment7seg[2]}]
61
set_property -dict {PACKAGE_PIN K13 IOSTANDARD LVCMOS33} [get_ports {segment7seg[3]}]
62
set_property -dict {PACKAGE_PIN P15 IOSTANDARD LVCMOS33} [get_ports {segment7seg[4]}]
63
set_property -dict {PACKAGE_PIN T11 IOSTANDARD LVCMOS33} [get_ports {segment7seg[5]}]
64
set_property -dict {PACKAGE_PIN L18 IOSTANDARD LVCMOS33} [get_ports {segment7seg[6]}]
65
set_property -dict {PACKAGE_PIN H15 IOSTANDARD LVCMOS33} [get_ports {segment7seg[7]}]
66
set_property -dict {PACKAGE_PIN J17 IOSTANDARD LVCMOS33} [get_ports {digit7seg[0]}]
67
set_property -dict {PACKAGE_PIN J18 IOSTANDARD LVCMOS33} [get_ports {digit7seg[1]}]
68
set_property -dict {PACKAGE_PIN T9 IOSTANDARD LVCMOS33} [get_ports {digit7seg[2]}]
69
set_property -dict {PACKAGE_PIN J14 IOSTANDARD LVCMOS33} [get_ports {digit7seg[3]}]
70
set_property -dict {PACKAGE_PIN P14 IOSTANDARD LVCMOS33} [get_ports {digit7seg[4]}]
71
set_property -dict {PACKAGE_PIN T14 IOSTANDARD LVCMOS33} [get_ports {digit7seg[5]}]
72
set_property -dict {PACKAGE_PIN K2  IOSTANDARD LVCMOS33} [get_ports {digit7seg[6]}]
73
set_property -dict {PACKAGE_PIN U13 IOSTANDARD LVCMOS33} [get_ports {digit7seg[7]}]
74
 
75
##Buttons
76
set_property -dict {PACKAGE_PIN C12 IOSTANDARD LVCMOS33} [get_ports load_button]; # CPU_RESETN INVERTED! #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn
77
#set_property -dict { PACKAGE_PIN N17   IOSTANDARD LVCMOS33 } [get_ports {  }]; # center #IO_L9P_T1_DQS_14 Sch=btnc
78
set_property -dict {PACKAGE_PIN M18 IOSTANDARD LVCMOS33} [get_ports run_button]; # up #IO_L4N_T0_D05_14 Sch=btnu
79
#set_property -dict {PACKAGE_PIN P17 IOSTANDARD LVCMOS33} [get_ports ]; # left
80
set_property -dict {PACKAGE_PIN M17 IOSTANDARD LVCMOS33} [get_ports step_button]; # right
81
set_property -dict {PACKAGE_PIN P18 IOSTANDARD LVCMOS33} [get_ports reset_button]; # down #IO_L9N_T1_DQS_D13_14 Sch=btnd
82
 
83
 
84
##Pmod Headers
85
 
86
##Pmod Header JA
87
# Debug LCD displays connected to port JA
88
set_property -dict { PACKAGE_PIN C17   IOSTANDARD LVCMOS33 } [get_ports lcd_data[0]];    #JA[1] IO_L20N_T3_A19_15 Sch=ja[1]
89
set_property -dict { PACKAGE_PIN D18   IOSTANDARD LVCMOS33 } [get_ports lcd_data[1]];    #JA[2] IO_L21N_T3_DQS_A18_15 Sch=ja[2]
90
set_property -dict { PACKAGE_PIN E18   IOSTANDARD LVCMOS33 } [get_ports lcd_data[2]];    #JA[3] IO_L21P_T3_DQS_15 Sch=ja[3]
91
set_property -dict { PACKAGE_PIN G17   IOSTANDARD LVCMOS33 } [get_ports lcd_data[3]];    #JA[4] IO_L18N_T2_A23_15 Sch=ja[4]
92
set_property -dict { PACKAGE_PIN D17   IOSTANDARD LVCMOS33 } [get_ports lcd_rs];         #JA[7] IO_L16N_T2_A27_15 Sch=ja[7]
93
set_property -dict { PACKAGE_PIN E17   IOSTANDARD LVCMOS33 } [get_ports lcd_e[0]];       #JA[8] IO_L16P_T2_A28_15 Sch=ja[8]
94
set_property -dict { PACKAGE_PIN F18   IOSTANDARD LVCMOS33 } [get_ports lcd_e[1]];       #JA[9] IO_L22N_T3_A16_15 Sch=ja[9]
95
#set_property -dict { PACKAGE_PIN G18  IOSTANDARD LVCMOS33 } [get_ports lcd_e[2]];       #JA[10]IO_L22P_T3_A17_15 Sch=ja[10]
96
 
97
##Pmod Header JB
98
# Debug buttons connected to port JB
99
set_property -dict { PACKAGE_PIN D14   IOSTANDARD LVCMOS33 } [get_ports step_button_x];  # JB1 IO_L1P_T0_AD0P_15 Sch=jb[1]
100
set_property -dict { PACKAGE_PIN F16   IOSTANDARD LVCMOS33 } [get_ports run_button_x];   # JB2 IO_L14N_T2_SRCC_15 Sch=jb[2]
101
set_property -dict { PACKAGE_PIN G16   IOSTANDARD LVCMOS33 } [get_ports reset_button_x]; # JB3 IO_L13N_T2_MRCC_15 Sch=jb[3]
102
set_property -dict { PACKAGE_PIN H14   IOSTANDARD LVCMOS33 } [get_ports load_button_x];  # JB4 IO_L15P_T2_DQS_15 Sch=jb[4]
103
#set_property -dict { PACKAGE_PIN E16  IOSTANDARD LVCMOS33 } [get_ports { }];            # JB7 IO_L11N_T1_SRCC_15 Sch=jb[7]
104
#set_property -dict { PACKAGE_PIN F13  IOSTANDARD LVCMOS33 } [get_ports { }];            # JB8 IO_L5P_T0_AD9P_15 Sch=jb[8]
105
set_property -dict { PACKAGE_PIN G13   IOSTANDARD LVCMOS33 } [get_ports debug1_switch];  # JB9 IO_0_15 Sch=jb[9]
106
set_property -dict { PACKAGE_PIN H16   IOSTANDARD LVCMOS33 } [get_ports external_connected_x]; # JB10 IO_L13P_T2_MRCC_15 Sch=jb[10]
107
 
108
##Pmod Header JC
109
# Uncomment these lines if debug buttons are connected to port JC
110
#set_property -dict {PACKAGE_PIN K1  IOSTANDARD LVCMOS33} [get_ports step_button_x ];  # 1
111
#set_property -dict {PACKAGE_PIN F6  IOSTANDARD LVCMOS33} [get_ports run_button_x  ];  # 2
112
#set_property -dict {PACKAGE_PIN J2  IOSTANDARD LVCMOS33} [get_ports reset_button_x];  # 3 IO_L22N_T3_35 Sch=jc[3]
113
#set_property -dict {PACKAGE_PIN G6  IOSTANDARD LVCMOS33} [get_ports load_button_x ];  # 4 IO_L19P_T3_35 Sch=jc[4]
114
#set_property -dict {PACKAGE_PIN E7  IOSTANDARD LVCMOS33} [get_ports {  }];            # 7 IO_L6P_T0_35 Sch=jc[7]
115
#set_property -dict {PACKAGE_PIN J3  IOSTANDARD LVCMOS33} [get_ports {  }];            # 8 IO_L22P_T3_35 Sch=jc[8]
116
#set_property -dict {PACKAGE_PIN J4  IOSTANDARD LVCMOS33} [get_ports debug1_switch];   # 9
117
#set_property -dict {PACKAGE_PIN E6  IOSTANDARD LVCMOS33} [get_ports external_connected_x] ; # 10
118
#set_property PULLTYPE PULLDOWN [get_ports external_connected_x] ; # Pull down resistor makes sure this input is low when external board not connected
119
 
120
##Pmod Header JD
121
# Uncomment these lines if debug LCD displays are connected to port JD
122
#set_property -dict {PACKAGE_PIN H4 IOSTANDARD LVCMOS33} [get_ports {lcd_data[0]}]
123
#set_property -dict {PACKAGE_PIN H1 IOSTANDARD LVCMOS33} [get_ports {lcd_data[1]}]
124
#set_property -dict {PACKAGE_PIN G1 IOSTANDARD LVCMOS33} [get_ports {lcd_data[2]}]
125
#set_property -dict {PACKAGE_PIN G3 IOSTANDARD LVCMOS33} [get_ports {lcd_data[3]}]
126
#set_property -dict {PACKAGE_PIN H2 IOSTANDARD LVCMOS33} [get_ports lcd_rs]
127
#set_property -dict {PACKAGE_PIN G4 IOSTANDARD LVCMOS33} [get_ports {lcd_e[0]}]
128
#set_property -dict {PACKAGE_PIN G2 IOSTANDARD LVCMOS33} [get_ports {lcd_e[1]}]
129
#set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33} [get_ports lcd_e[2] ]; # 7 IO_L13N_T2_MRCC_35 Sch=jd[10]
130
 
131
##Pmod Header JXADC
132
#set_property -dict { PACKAGE_PIN A14   IOSTANDARD LVCMOS33 } [get_ports { XA_N[1] }]; #IO_L9N_T1_DQS_AD3N_15 Sch=xa_n[1]
133
#set_property -dict { PACKAGE_PIN A13   IOSTANDARD LVCMOS33 } [get_ports { XA_P[1] }]; #IO_L9P_T1_DQS_AD3P_15 Sch=xa_p[1]
134
#set_property -dict { PACKAGE_PIN A16   IOSTANDARD LVCMOS33 } [get_ports { XA_N[2] }]; #IO_L8N_T1_AD10N_15 Sch=xa_n[2]
135
#set_property -dict { PACKAGE_PIN A15   IOSTANDARD LVCMOS33 } [get_ports { XA_P[2] }]; #IO_L8P_T1_AD10P_15 Sch=xa_p[2]
136
#set_property -dict { PACKAGE_PIN B17   IOSTANDARD LVCMOS33 } [get_ports { XA_N[3] }]; #IO_L7N_T1_AD2N_15 Sch=xa_n[3]
137
#set_property -dict { PACKAGE_PIN B16   IOSTANDARD LVCMOS33 } [get_ports { XA_P[3] }]; #IO_L7P_T1_AD2P_15 Sch=xa_p[3]
138
#set_property -dict { PACKAGE_PIN A18   IOSTANDARD LVCMOS33 } [get_ports { XA_N[4] }]; #IO_L10N_T1_AD11N_15 Sch=xa_n[4]
139
#set_property -dict { PACKAGE_PIN B18   IOSTANDARD LVCMOS33 } [get_ports { XA_P[4] }]; #IO_L10P_T1_AD11P_15 Sch=xa_p[4]
140
 
141
##VGA Connector
142
#set_property -dict { PACKAGE_PIN A3    IOSTANDARD LVCMOS33 } [get_ports { VGA_R[0] }]; #IO_L8N_T1_AD14N_35 Sch=vga_r[0]
143
#set_property -dict { PACKAGE_PIN B4    IOSTANDARD LVCMOS33 } [get_ports { VGA_R[1] }]; #IO_L7N_T1_AD6N_35 Sch=vga_r[1]
144
#set_property -dict { PACKAGE_PIN C5    IOSTANDARD LVCMOS33 } [get_ports { VGA_R[2] }]; #IO_L1N_T0_AD4N_35 Sch=vga_r[2]
145
#set_property -dict { PACKAGE_PIN A4    IOSTANDARD LVCMOS33 } [get_ports { VGA_R[3] }]; #IO_L8P_T1_AD14P_35 Sch=vga_r[3]
146
#set_property -dict { PACKAGE_PIN C6    IOSTANDARD LVCMOS33 } [get_ports { VGA_G[0] }]; #IO_L1P_T0_AD4P_35 Sch=vga_g[0]
147
#set_property -dict { PACKAGE_PIN A5    IOSTANDARD LVCMOS33 } [get_ports { VGA_G[1] }]; #IO_L3N_T0_DQS_AD5N_35 Sch=vga_g[1]
148
#set_property -dict { PACKAGE_PIN B6    IOSTANDARD LVCMOS33 } [get_ports { VGA_G[2] }]; #IO_L2N_T0_AD12N_35 Sch=vga_g[2]
149
#set_property -dict { PACKAGE_PIN A6    IOSTANDARD LVCMOS33 } [get_ports { VGA_G[3] }]; #IO_L3P_T0_DQS_AD5P_35 Sch=vga_g[3]
150
#set_property -dict { PACKAGE_PIN B7    IOSTANDARD LVCMOS33 } [get_ports { VGA_B[0] }]; #IO_L2P_T0_AD12P_35 Sch=vga_b[0]
151
#set_property -dict { PACKAGE_PIN C7    IOSTANDARD LVCMOS33 } [get_ports { VGA_B[1] }]; #IO_L4N_T0_35 Sch=vga_b[1]
152
#set_property -dict { PACKAGE_PIN D7    IOSTANDARD LVCMOS33 } [get_ports { VGA_B[2] }]; #IO_L6N_T0_VREF_35 Sch=vga_b[2]
153
#set_property -dict { PACKAGE_PIN D8    IOSTANDARD LVCMOS33 } [get_ports { VGA_B[3] }]; #IO_L4P_T0_35 Sch=vga_b[3]
154
#set_property -dict { PACKAGE_PIN B11   IOSTANDARD LVCMOS33 } [get_ports { VGA_HS }]; #IO_L4P_T0_15 Sch=vga_hs
155
#set_property -dict { PACKAGE_PIN B12   IOSTANDARD LVCMOS33 } [get_ports { VGA_VS }]; #IO_L3N_T0_DQS_AD1N_15 Sch=vga_vs
156
 
157
##Micro SD Connector
158
#set_property -dict { PACKAGE_PIN E2    IOSTANDARD LVCMOS33 } [get_ports { SD_RESET }]; #IO_L14P_T2_SRCC_35 Sch=sd_reset
159
#set_property -dict { PACKAGE_PIN A1    IOSTANDARD LVCMOS33 } [get_ports { SD_CD }]; #IO_L9N_T1_DQS_AD7N_35 Sch=sd_cd
160
#set_property -dict { PACKAGE_PIN B1    IOSTANDARD LVCMOS33 } [get_ports { SD_SCK }]; #IO_L9P_T1_DQS_AD7P_35 Sch=sd_sck
161
#set_property -dict { PACKAGE_PIN C1    IOSTANDARD LVCMOS33 } [get_ports { SD_CMD }]; #IO_L16N_T2_35 Sch=sd_cmd
162
#set_property -dict { PACKAGE_PIN C2    IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[0] }]; #IO_L16P_T2_35 Sch=sd_dat[0]
163
#set_property -dict { PACKAGE_PIN E1    IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[1] }]; #IO_L18N_T2_35 Sch=sd_dat[1]
164
#set_property -dict { PACKAGE_PIN F1    IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[2] }]; #IO_L18P_T2_35 Sch=sd_dat[2]
165
#set_property -dict { PACKAGE_PIN D2    IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[3] }]; #IO_L14N_T2_SRCC_35 Sch=sd_dat[3]
166
 
167
##Accelerometer
168
#set_property -dict { PACKAGE_PIN E15   IOSTANDARD LVCMOS33 } [get_ports { ACL_MISO }]; #IO_L11P_T1_SRCC_15 Sch=acl_miso
169
#set_property -dict { PACKAGE_PIN F14   IOSTANDARD LVCMOS33 } [get_ports { ACL_MOSI }]; #IO_L5N_T0_AD9N_15 Sch=acl_mosi
170
#set_property -dict { PACKAGE_PIN F15   IOSTANDARD LVCMOS33 } [get_ports { ACL_SCLK }]; #IO_L14P_T2_SRCC_15 Sch=acl_sclk
171
#set_property -dict { PACKAGE_PIN D15   IOSTANDARD LVCMOS33 } [get_ports { ACL_CSN }]; #IO_L12P_T1_MRCC_15 Sch=acl_csn
172
#set_property -dict { PACKAGE_PIN B13   IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[1] }]; #IO_L2P_T0_AD8P_15 Sch=acl_int[1]
173
#set_property -dict { PACKAGE_PIN C16   IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[2] }]; #IO_L20P_T3_A20_15 Sch=acl_int[2]
174
 
175
##Temperature Sensor
176
#set_property -dict { PACKAGE_PIN C14   IOSTANDARD LVCMOS33 } [get_ports { TMP_SCL }]; #IO_L1N_T0_AD0N_15 Sch=tmp_scl
177
#set_property -dict { PACKAGE_PIN C15   IOSTANDARD LVCMOS33 } [get_ports { TMP_SDA }]; #IO_L12N_T1_MRCC_15 Sch=tmp_sda
178
#set_property -dict { PACKAGE_PIN D13   IOSTANDARD LVCMOS33 } [get_ports { TMP_INT }]; #IO_L6N_T0_VREF_15 Sch=tmp_int
179
#set_property -dict { PACKAGE_PIN B14   IOSTANDARD LVCMOS33 } [get_ports { TMP_CT }]; #IO_L2N_T0_AD8N_15 Sch=tmp_ct
180
 
181
##Omnidirectional Microphone
182
#set_property -dict { PACKAGE_PIN J5    IOSTANDARD LVCMOS33 } [get_ports { M_CLK }]; #IO_25_35 Sch=m_clk
183
#set_property -dict { PACKAGE_PIN H5    IOSTANDARD LVCMOS33 } [get_ports { M_DATA }]; #IO_L24N_T3_35 Sch=m_data
184
#set_property -dict { PACKAGE_PIN F5    IOSTANDARD LVCMOS33 } [get_ports { M_LRSEL }]; #IO_0_35 Sch=m_lrsel
185
 
186
##PWM Audio Amplifier
187
#set_property -dict { PACKAGE_PIN A11   IOSTANDARD LVCMOS33 } [get_ports { AUD_PWM }]; #IO_L4N_T0_15 Sch=aud_pwm
188
#set_property -dict { PACKAGE_PIN D12   IOSTANDARD LVCMOS33 } [get_ports { AUD_SD }]; #IO_L6P_T0_15 Sch=aud_sd
189
 
190
##USB-RS232 Interface
191
set_property -dict {PACKAGE_PIN C4 IOSTANDARD LVCMOS33} [get_ports uart_txd_in]
192
set_property -dict {PACKAGE_PIN D4 IOSTANDARD LVCMOS33} [get_ports uart_rxd_out]
193
set_property -dict {PACKAGE_PIN D3 IOSTANDARD LVCMOS33} [get_ports uart_cts_out]
194
set_property -dict {PACKAGE_PIN E5 IOSTANDARD LVCMOS33} [get_ports uart_rts_in]
195
 
196
##USB HID (PS/2)
197
#set_property -dict { PACKAGE_PIN F4    IOSTANDARD LVCMOS33 } [get_ports { PS2_CLK }]; #IO_L13P_T2_MRCC_35 Sch=ps2_clk
198
#set_property -dict { PACKAGE_PIN B2    IOSTANDARD LVCMOS33 } [get_ports { PS2_DATA }]; #IO_L10N_T1_AD15N_35 Sch=ps2_data
199
 
200
##SMSC Ethernet PHY
201
#set_property -dict { PACKAGE_PIN C9    IOSTANDARD LVCMOS33 } [get_ports { ETH_MDC }]; #IO_L11P_T1_SRCC_16 Sch=eth_mdc
202
#set_property -dict { PACKAGE_PIN A9    IOSTANDARD LVCMOS33 } [get_ports { ETH_MDIO }]; #IO_L14N_T2_SRCC_16 Sch=eth_mdio
203
#set_property -dict { PACKAGE_PIN B3    IOSTANDARD LVCMOS33 } [get_ports { ETH_RSTN }]; #IO_L10P_T1_AD15P_35 Sch=eth_rstn
204
#set_property -dict { PACKAGE_PIN D9    IOSTANDARD LVCMOS33 } [get_ports { ETH_CRSDV }]; #IO_L6N_T0_VREF_16 Sch=eth_crsdv
205
#set_property -dict { PACKAGE_PIN C10   IOSTANDARD LVCMOS33 } [get_ports { ETH_RXERR }]; #IO_L13N_T2_MRCC_16 Sch=eth_rxerr
206
#set_property -dict { PACKAGE_PIN C11   IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[0] }]; #IO_L13P_T2_MRCC_16 Sch=eth_rxd[0]
207
#set_property -dict { PACKAGE_PIN D10   IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[1] }]; #IO_L19N_T3_VREF_16 Sch=eth_rxd[1]
208
#set_property -dict { PACKAGE_PIN B9    IOSTANDARD LVCMOS33 } [get_ports { ETH_TXEN }]; #IO_L11N_T1_SRCC_16 Sch=eth_txen
209
#set_property -dict { PACKAGE_PIN A10   IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[0] }]; #IO_L14P_T2_SRCC_16 Sch=eth_txd[0]
210
#set_property -dict { PACKAGE_PIN A8    IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[1] }]; #IO_L12N_T1_MRCC_16 Sch=eth_txd[1]
211
#set_property -dict { PACKAGE_PIN D5    IOSTANDARD LVCMOS33 } [get_ports { ETH_REFCLK }]; #IO_L11P_T1_SRCC_35 Sch=eth_refclk
212
#set_property -dict { PACKAGE_PIN B8    IOSTANDARD LVCMOS33 } [get_ports { ETH_INTN }]; #IO_L12P_T1_MRCC_16 Sch=eth_intn
213
 
214
##Quad SPI Flash
215
#set_property -dict { PACKAGE_PIN K17   IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[0] }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0]
216
#set_property -dict { PACKAGE_PIN K18   IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[1] }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1]
217
#set_property -dict { PACKAGE_PIN L14   IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[2] }]; #IO_L2P_T0_D02_14 Sch=qspi_dq[2]
218
#set_property -dict { PACKAGE_PIN M14   IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[3] }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3]
219
#set_property -dict { PACKAGE_PIN L13   IOSTANDARD LVCMOS33 } [get_ports { QSPI_CSN }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_csn
220
 
221
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.