OpenCores
URL https://opencores.org/ocsvn/galois_lfsr/galois_lfsr/trunk

Subversion Repositories galois_lfsr

[/] [galois_lfsr/] [trunk/] [workspace/] [quartus/] [galois.qsf] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 8 daniel.kho
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2012 Altera Corporation
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, Altera MegaCore Function License
11
# Agreement, or other applicable license agreement, including,
12
# without limitation, that your use is for the sole purpose of
13
# programming logic devices manufactured by Altera and sold by
14
# Altera or its authorized distributors.  Please refer to the
15
# applicable agreement for further details.
16
#
17
# -------------------------------------------------------------------------- #
18
#
19
# Quartus II 32-bit
20
# Version 12.1 Build 177 11/07/2012 SJ Full Version
21
# Date created = 23:35:01  July 30, 2013
22
#
23
# -------------------------------------------------------------------------- #
24
#
25
# Notes:
26
#
27
# 1) The default values for assignments are stored in the file:
28
#               ethernet_assignment_defaults.qdf
29
#    If this file doesn't exist, see file:
30
#               assignment_defaults.qdf
31
#
32
# 2) Altera recommends that you do not modify this file. This
33
#    file is updated automatically by the Quartus II software
34
#    and any changes you make may be lost or overwritten.
35
#
36
# -------------------------------------------------------------------------- #
37
 
38
 
39
set_global_assignment -name FAMILY "Cyclone IV GX"
40
set_global_assignment -name DEVICE AUTO
41
set_global_assignment -name TOP_LEVEL_ENTITY user
42
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 12.1
43
set_global_assignment -name PROJECT_CREATION_TIME_DATE "23:35:01  JULY 30, 2013"
44
set_global_assignment -name LAST_QUARTUS_VERSION 12.1
45
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
46
set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA
47
set_global_assignment -name DEVICE_FILTER_PIN_COUNT 169
48
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (VHDL)"
49
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT VHDL -section_id eda_simulation
50
set_global_assignment -name VHDL_INPUT_VERSION VHDL_2008
51
set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF
52
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
53
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
54
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
55
set_global_assignment -name VHDL_FILE "../../rtl/packages/pkg-types.vhdl"
56
set_global_assignment -name VHDL_FILE "../../rtl/quartus-synthesis/galois-lfsr.vhdl"
57
set_global_assignment -name VHDL_FILE "../../rtl/quartus-synthesis/user.vhdl"
58
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.