OpenCores
URL https://opencores.org/ocsvn/generic_parameterized_carry_lookahead_adder/generic_parameterized_carry_lookahead_adder/trunk

Subversion Repositories generic_parameterized_carry_lookahead_adder

[/] [generic_parameterized_carry_lookahead_adder/] [trunk/] [bench/] [tb.v] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Kanish
module tb;
2
    reg [2:0]A,B;
3
    wire [2:0]S;
4
    reg Cin;
5
    wire Cout;
6
 
7
    carry_lookahead_adder #(.N(3)) DUT(.A(A), .B(B), .S(S), .Cout(Cout), .Cin(Cin));
8
 
9
 
10
    task load(input [2:0]a,b, input c); begin
11
        A = a;
12
        B = b;
13
            Cin = c;
14
    end
15
    endtask
16
 
17
    integer i , j,k;
18
    initial begin
19
        $dumpfile ("carry_lookahead_adder.vcd");
20
        $dumpvars (0, tb);
21
        for (k=0;k<2;k=k+1) begin
22
            for (i=0; i<8 ; i=i+1) begin
23
                for(j=0;j<8;j=j+1) begin
24
                    load(i,j,k);
25
                    #10;
26
                end
27
            end
28
        end
29
        $finish;
30
    end
31
    initial $monitor("A = %b, B = %b, Cin = %b, Cout = %b, Sum = %b",A,B,Cin,Cout,S);
32
 
33
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.