OpenCores
URL https://opencores.org/ocsvn/generic_parameterized_carry_lookahead_adder/generic_parameterized_carry_lookahead_adder/trunk

Subversion Repositories generic_parameterized_carry_lookahead_adder

[/] [generic_parameterized_carry_lookahead_adder/] [trunk/] [rtl/] [carry_lookahead_adder.v] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Kanish
module carry_lookahead_adder(A,B,S,Cout,Cin);
2
    parameter N = 2;
3
 
4
    input [N-1:0]A,B;
5
    input Cin;
6
    output [N-1:0]S;
7
        output Cout;
8
 
9
    wire [N-1:0]P, G ;
10
    wire [N:0]C;
11
    propagate_generate #(.N(N)) M1(.A(A), .B(B), .P(P), .G(G));
12
    carry_generate #(.N(N)) M2 (.P(P), .G(G), .C(C), .Cin(Cin));
13
 
14
    assign S = P ^ C;
15
    assign Cout = C[N];
16
 
17
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.