OpenCores
URL https://opencores.org/ocsvn/generic_parameterized_carry_lookahead_adder/generic_parameterized_carry_lookahead_adder/trunk

Subversion Repositories generic_parameterized_carry_lookahead_adder

[/] [generic_parameterized_carry_lookahead_adder/] [trunk/] [rtl/] [propagate_generate.v] - Blame information for rev 6

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 6 Kanish
module propagate_generate(A,B,P,G);
2
    parameter N = 2;
3
    input [N-1 :0] A,B;
4
    output [N-1 :0]P,G;
5
 
6
    assign P = A^B;
7
    assign G = A&B;
8
 
9
endmodule
10
 
11
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.