OpenCores
URL https://opencores.org/ocsvn/generic_parameterized_mux/generic_parameterized_mux/trunk

Subversion Repositories generic_parameterized_mux

[/] [generic_parameterized_mux/] [trunk/] [bench/] [tb_Nx1_mux.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 Kanish
module tb_Nx1_mux;
2
 
3
reg [7:0]D;
4
reg [2:0]S;
5
wire Y;
6
 
7
Nx1_mux #(.N(8)) DUT(.D(D), .S(S), .Y(Y));
8
 
9
task initialize;
10
begin
11
    D=8'b0000;
12
    S=4'b00;
13
end
14
endtask
15
 
16
task select(input [2:0]i);
17
begin
18
    S=i;
19
end
20
endtask
21
 
22
task load(input [7:0] data);
23
begin
24
    D=data;
25
end
26
endtask
27
 
28
integer i , j;
29
initial begin
30
$dumpfile ("Nx1_mux.vcd");
31
$dumpvars (0, tb_Nx1_mux);
32
for (i=0; i<8 ; i=i+1)
33
begin
34
    initialize;
35
    #10;
36
    select(i);
37
    for(j=0;j<256;j=j+1)
38
    begin
39
        load(j);
40
        #10;
41
    end
42
end
43
$finish;
44
end
45
initial $monitor("D = %b, S = %b, Y = %b",D,S,Y);
46
 
47
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.