OpenCores
URL https://opencores.org/ocsvn/i2c/i2c/trunk

Subversion Repositories i2c

[/] [i2c/] [trunk/] [rtl/] [vhdl/] [i2c_master_bit_ctrl.vhd] - Blame information for rev 64

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 15 rherveille
---------------------------------------------------------------------
2
----                                                             ----
3 27 rherveille
----  WISHBONE revB2 I2C Master Core; bit-controller             ----
4 15 rherveille
----                                                             ----
5
----                                                             ----
6
----  Author: Richard Herveille                                  ----
7
----          richard@asics.ws                                   ----
8
----          www.asics.ws                                       ----
9
----                                                             ----
10
----  Downloaded from: http://www.opencores.org/projects/i2c/    ----
11
----                                                             ----
12
---------------------------------------------------------------------
13
----                                                             ----
14
---- Copyright (C) 2000 Richard Herveille                        ----
15
----                    richard@asics.ws                         ----
16
----                                                             ----
17
---- This source file may be used and distributed without        ----
18
---- restriction provided that this copyright statement is not   ----
19
---- removed from the file and that any derivative work contains ----
20
---- the original copyright notice and the associated disclaimer.----
21
----                                                             ----
22
----     THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY     ----
23
---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED   ----
24
---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS   ----
25
---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR      ----
26
---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT,         ----
27
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES    ----
28
---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE   ----
29
---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR        ----
30
---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF  ----
31
---- LIABILITY, WHETHER IN  CONTRACT, STRICT LIABILITY, OR TORT  ----
32
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT  ----
33
---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE         ----
34
---- POSSIBILITY OF SUCH DAMAGE.                                 ----
35
----                                                             ----
36
---------------------------------------------------------------------
37
 
38
--  CVS Log
39
--
40 64 rherveille
--  $Id: i2c_master_bit_ctrl.vhd,v 1.15 2009-01-20 10:34:51 rherveille Exp $
41 15 rherveille
--
42 64 rherveille
--  $Date: 2009-01-20 10:34:51 $
43
--  $Revision: 1.15 $
44 15 rherveille
--  $Author: rherveille $
45
--  $Locker:  $
46
--  $State: Exp $
47
--
48
-- Change History:
49
--               $Log: not supported by cvs2svn $
50 64 rherveille
--               Revision 1.14  2006/10/11 12:10:13  rherveille
51
--               Added missing semicolons ';' on endif
52
--
53 60 rherveille
--               Revision 1.13  2006/10/06 10:48:24  rherveille
54
--               fixed short scl high pulse after clock stretch
55
--
56 59 rherveille
--               Revision 1.12  2004/05/07 11:53:31  rherveille
57
--               Fixed previous fix :) Made a variable vs signal mistake.
58
--
59 53 rherveille
--               Revision 1.11  2004/05/07 11:04:00  rherveille
60
--               Fixed a bug where the core would signal an arbitration lost (AL bit set), when another master controls the bus and the other master generates a STOP bit.
61
--
62 52 rherveille
--               Revision 1.10  2004/02/27 07:49:43  rherveille
63
--               Fixed a bug in the arbitration-lost signal generation. VHDL version only.
64
--
65 48 rherveille
--               Revision 1.9  2003/08/12 14:48:37  rherveille
66
--               Forgot an 'end if' :-/
67
--
68 39 rherveille
--               Revision 1.8  2003/08/09 07:01:13  rherveille
69
--               Fixed a bug in the Arbitration Lost generation caused by delay on the (external) sda line.
70
--               Fixed a potential bug in the byte controller's host-acknowledge generation.
71
--
72 38 rherveille
--               Revision 1.7  2003/02/05 00:06:02  rherveille
73
--               Fixed a bug where the core would trigger an erroneous 'arbitration lost' interrupt after being reset, when the reset pulse width < 3 clk cycles.
74
--
75 35 rherveille
--               Revision 1.6  2003/02/01 02:03:06  rherveille
76
--               Fixed a few 'arbitration lost' bugs. VHDL version only.
77
--
78 34 rherveille
--               Revision 1.5  2002/12/26 16:05:47  rherveille
79
--               Core is now a Multimaster I2C controller.
80
--
81 31 rherveille
--               Revision 1.4  2002/11/30 22:24:37  rherveille
82
--               Cleaned up code
83
--
84 27 rherveille
--               Revision 1.3  2002/10/30 18:09:53  rherveille
85
--               Fixed some reported minor start/stop generation timing issuess.
86
--
87 24 rherveille
--               Revision 1.2  2002/06/15 07:37:04  rherveille
88
--               Fixed a small timing bug in the bit controller.\nAdded verilog simulation environment.
89
--
90 22 rherveille
--               Revision 1.1  2001/11/05 12:02:33  rherveille
91
--               Split i2c_master_core.vhd into separate files for each entity; same layout as verilog version.
92
--               Code updated, is now up-to-date to doc. rev.0.4.
93
--               Added headers.
94
--
95 15 rherveille
 
96
 
97
--
98
-------------------------------------
99
-- Bit controller section
100
------------------------------------
101
--
102
-- Translate simple commands into SCL/SDA transitions
103
-- Each command has 5 states, A/B/C/D/idle
104
--
105 31 rherveille
-- start:    SCL  ~~~~~~~~~~~~~~\____
106
--           SDA  XX/~~~~~~~\______
107
--                x | A | B | C | D | i
108 15 rherveille
--
109 31 rherveille
-- repstart  SCL  ______/~~~~~~~\___
110
--           SDA  __/~~~~~~~\______
111
--                x | A | B | C | D | i
112 15 rherveille
--
113 31 rherveille
-- stop      SCL  _______/~~~~~~~~~~~
114
--           SDA  ==\___________/~~~~~
115
--                x | A | B | C | D | i
116 15 rherveille
--
117 31 rherveille
--- write    SCL  ______/~~~~~~~\____
118
--           SDA  XXX===============XX
119
--                x | A | B | C | D | i
120 15 rherveille
--
121 31 rherveille
--- read     SCL  ______/~~~~~~~\____
122
--           SDA  XXXXXXX=XXXXXXXXXXX
123
--                x | A | B | C | D | i
124 15 rherveille
--
125
 
126 24 rherveille
-- Timing:      Normal mode     Fast mode
127 15 rherveille
-----------------------------------------------------------------
128 24 rherveille
-- Fscl         100KHz          400KHz
129
-- Th_scl       4.0us           0.6us   High period of SCL
130
-- Tl_scl       4.7us           1.3us   Low period of SCL
131
-- Tsu:sta      4.7us           0.6us   setup time for a repeated start condition
132
-- Tsu:sto      4.0us           0.6us   setup time for a stop conditon
133
-- Tbuf         4.7us           1.3us   Bus free time between a stop and start condition
134 15 rherveille
--
135
 
136
library ieee;
137
use ieee.std_logic_1164.all;
138
use ieee.std_logic_arith.all;
139
 
140
entity i2c_master_bit_ctrl is
141
        port (
142
                clk    : in std_logic;
143
                rst    : in std_logic;
144
                nReset : in std_logic;
145
                ena    : in std_logic;                          -- core enable signal
146
 
147
                clk_cnt : in unsigned(15 downto 0);              -- clock prescale value
148
 
149
                cmd     : in std_logic_vector(3 downto 0);
150 31 rherveille
                cmd_ack : out std_logic; -- command completed
151
                busy    : out std_logic; -- i2c bus busy
152
                al      : out std_logic; -- arbitration lost
153 15 rherveille
 
154
                din  : in std_logic;
155
                dout : out std_logic;
156
 
157
                -- i2c lines
158
                scl_i   : in std_logic;  -- i2c clock line input
159
                scl_o   : out std_logic; -- i2c clock line output
160
                scl_oen : out std_logic; -- i2c clock line output enable, active low
161
                sda_i   : in std_logic;  -- i2c data line input
162
                sda_o   : out std_logic; -- i2c data line output
163
                sda_oen : out std_logic  -- i2c data line output enable, active low
164
        );
165
end entity i2c_master_bit_ctrl;
166
 
167
architecture structural of i2c_master_bit_ctrl is
168 22 rherveille
        constant I2C_CMD_NOP    : std_logic_vector(3 downto 0) := "0000";
169
        constant I2C_CMD_START  : std_logic_vector(3 downto 0) := "0001";
170
        constant I2C_CMD_STOP   : std_logic_vector(3 downto 0) := "0010";
171
        constant I2C_CMD_READ   : std_logic_vector(3 downto 0) := "0100";
172
        constant I2C_CMD_WRITE  : std_logic_vector(3 downto 0) := "1000";
173 15 rherveille
 
174 27 rherveille
        type states is (idle, start_a, start_b, start_c, start_d, start_e,
175 24 rherveille
                        stop_a, stop_b, stop_c, stop_d, rd_a, rd_b, rd_c, rd_d, wr_a, wr_b, wr_c, wr_d);
176 15 rherveille
        signal c_state : states;
177
 
178 64 rherveille
        signal iscl_oen, isda_oen   : std_logic;        -- internal I2C lines
179
        signal sda_chk              : std_logic;        -- check SDA status (multi-master arbitration)
180
        signal dscl_oen             : std_logic;        -- delayed scl_oen signals
181
        signal sSCL, sSDA           : std_logic;        -- synchronized SCL and SDA inputs
182
        signal dSCL, dSDA           : std_logic;        -- delayed versions ofsSCL and sSDA
183
        signal clk_en               : std_logic;        -- statemachine clock enable
184
        signal scl_sync, slave_wait : std_logic;        -- clock generation signals
185
        signal ial                  : std_logic;        -- internal arbitration lost signal
186 22 rherveille
--      signal cnt : unsigned(15 downto 0) := clk_cnt;  -- clock divider counter (simulation)
187 15 rherveille
        signal cnt : unsigned(15 downto 0);             -- clock divider counter (synthesis)
188
 
189
begin
190 31 rherveille
        -- whenever the slave is not ready it can delay the cycle by pulling SCL low
191 22 rherveille
        -- delay scl_oen
192
        process (clk)
193
        begin
194 24 rherveille
            if (clk'event and clk = '1') then
195 27 rherveille
              dscl_oen <= iscl_oen;
196 24 rherveille
            end if;
197 22 rherveille
        end process;
198 15 rherveille
 
199 64 rherveille
        -- slave_wait is asserted when master wants to drive SCL high, but the slave (another master) pulls it low
200
        -- slave_wait remains asserted until the slave (other master) releases SCL
201
        process (clk, nReset)
202
        begin
203
            if (nReset = '0') then
204
              slave_wait <= '0';
205
            else
206
              slave_wait <= (scl_oen and not dscl_oen and not sSCL) or (slave_wait and not sSCL);
207
            end if;
208
        end process;
209
 
210
        -- master drives SCL high, but another master pulls it low
211
        -- master start counting down its low cycle now (clock synchronization)
212
        scl_sync <= dSCL and not sSCL and scl_oen;
213
 
214 15 rherveille
        -- generate clk enable signal
215
        gen_clken: process(clk, nReset)
216
        begin
217 24 rherveille
            if (nReset = '0') then
218 27 rherveille
              cnt    <= (others => '0');
219
              clk_en <= '1';
220 24 rherveille
            elsif (clk'event and clk = '1') then
221
              if (rst = '1') then
222 27 rherveille
                cnt    <= (others => '0');
223
                clk_en <= '1';
224 64 rherveille
              elsif ( (cnt = 0) or (ena = '0') or (scl_sync = '1') ) then
225 59 rherveille
                cnt    <= clk_cnt;
226
                clk_en <= '1';
227
              elsif (slave_wait = '1') then
228
                cnt    <= cnt;
229
                clk_en <= '0';
230 24 rherveille
              else
231 59 rherveille
                cnt    <= cnt -1;
232
                clk_en <= '0';
233 60 rherveille
              end if;
234
            end if;
235 15 rherveille
        end process gen_clken;
236
 
237
 
238
        -- generate bus status controller
239
        bus_status_ctrl: block
240 31 rherveille
          signal sta_condition       : std_logic;  -- start detected
241
          signal sto_condition       : std_logic;  -- stop detected
242 38 rherveille
          signal cmd_stop            : std_logic;  -- STOP command
243 31 rherveille
          signal ibusy               : std_logic;  -- internal busy signal
244
        begin
245
            -- synchronize SCL and SDA inputs
246 35 rherveille
            synch_scl_sda: process(clk, nReset)
247 31 rherveille
            begin
248 35 rherveille
                if (nReset = '0') then
249
                  sSCL <= '1';
250
                  sSDA <= '1';
251 15 rherveille
 
252 35 rherveille
                  dSCL <= '1';
253
                  dSDA <= '1';
254
                elsif (clk'event and clk = '1') then
255
                  if (rst = '1') then
256
                    sSCL <= '1';
257
                    sSDA <= '1';
258
 
259
                    dSCL <= '1';
260
                    dSDA <= '1';
261
                  else
262
                    sSCL <= scl_i;
263
                    sSDA <= sda_i;
264
 
265
                    dSCL <= sSCL;
266
                    dSDA <= sSDA;
267
                  end if;
268 31 rherveille
                end if;
269
            end process synch_SCL_SDA;
270
 
271 24 rherveille
            -- detect start condition => detect falling edge on SDA while SCL is high
272
            -- detect stop condition  => detect rising edge on SDA while SCL is high
273 35 rherveille
            detect_sta_sto: process(clk, nReset)
274 24 rherveille
            begin
275 35 rherveille
                if (nReset = '0') then
276
                  sta_condition <= '0';
277
                  sto_condition <= '0';
278
                elsif (clk'event and clk = '1') then
279
                  if (rst = '1') then
280
                    sta_condition <= '0';
281
                    sto_condition <= '0';
282
                  else
283
                    sta_condition <= (not sSDA and dSDA) and sSCL;
284
                    sto_condition <= (sSDA and not dSDA) and sSCL;
285
                  end if;
286 24 rherveille
                end if;
287
            end process detect_sta_sto;
288 15 rherveille
 
289 31 rherveille
            -- generate i2c-bus busy signal
290 24 rherveille
            gen_busy: process(clk, nReset)
291
            begin
292
                if (nReset = '0') then
293 27 rherveille
                  ibusy <= '0';
294 24 rherveille
                elsif (clk'event and clk = '1') then
295
                  if (rst = '1') then
296 27 rherveille
                    ibusy <= '0';
297 24 rherveille
                  else
298 27 rherveille
                    ibusy <= (sta_condition or ibusy) and not sto_condition;
299 24 rherveille
                  end if;
300
                end if;
301
            end process gen_busy;
302 31 rherveille
            busy <= ibusy;
303 15 rherveille
 
304 31 rherveille
 
305
            -- generate arbitration lost signal
306 52 rherveille
            -- aribitration lost when:
307
            -- 1) master drives SDA high, but the i2c bus is low
308
            -- 2) stop detected while not requested (detect during 'idle' state)
309 35 rherveille
            gen_al: process(clk, nReset)
310 31 rherveille
            begin
311 35 rherveille
              if (nReset = '0') then
312
                cmd_stop  <= '0';
313
                ial       <= '0';
314
              elsif (clk'event and clk = '1') then
315
                if (rst = '1') then
316
                  cmd_stop  <= '0';
317
                  ial       <= '0';
318
                else
319 48 rherveille
                  if (clk_en = '1') then
320 52 rherveille
                    if (cmd = I2C_CMD_STOP) then
321 48 rherveille
                      cmd_stop <= '1';
322
                    else
323
                      cmd_stop <= '0';
324 52 rherveille
                    end if;
325 39 rherveille
                  end if;
326 31 rherveille
 
327 52 rherveille
                  if (c_state = idle) then
328 53 rherveille
                    ial <= (sda_chk and not sSDA and isda_oen);
329 52 rherveille
                  else
330 53 rherveille
                    ial <= (sda_chk and not sSDA and isda_oen) or (sto_condition and not cmd_stop);
331 52 rherveille
                  end if;
332
 
333 35 rherveille
                end if;
334 31 rherveille
              end if;
335
            end process gen_al;
336 35 rherveille
            al <= ial;
337 34 rherveille
 
338 31 rherveille
            -- generate dout signal, store dout on rising edge of SCL
339
            gen_dout: process(clk)
340
            begin
341
              if (clk'event and clk = '1') then
342
                if (sSCL = '1' and dSCL = '0') then
343
                  dout <= sSDA;
344
                end if;
345
              end if;
346
            end process gen_dout;
347 15 rherveille
        end block bus_status_ctrl;
348
 
349
 
350
        -- generate statemachine
351
        nxt_state_decoder : process (clk, nReset, c_state, cmd)
352
        begin
353 27 rherveille
            if (nReset = '0') then
354
              c_state  <= idle;
355
              cmd_ack  <= '0';
356
              iscl_oen <= '1';
357
              isda_oen <= '1';
358 31 rherveille
              sda_chk  <= '0';
359 27 rherveille
            elsif (clk'event and clk = '1') then
360 34 rherveille
              if (rst = '1' or ial = '1') then
361 27 rherveille
                c_state  <= idle;
362
                cmd_ack  <= '0';
363
                iscl_oen <= '1';
364
                isda_oen <= '1';
365 31 rherveille
                sda_chk  <= '0';
366 27 rherveille
              else
367
                cmd_ack <= '0'; -- default no acknowledge
368 15 rherveille
 
369 27 rherveille
                if (clk_en = '1') then
370
                  case (c_state) is
371
                     -- idle
372
                     when idle =>
373
                        case cmd is
374
                          when I2C_CMD_START => c_state <= start_a;
375
                          when I2C_CMD_STOP  => c_state <= stop_a;
376
                          when I2C_CMD_WRITE => c_state <= wr_a;
377
                          when I2C_CMD_READ  => c_state <= rd_a;
378
                          when others        => c_state <= idle; -- NOP command
379
                        end case;
380 15 rherveille
 
381 27 rherveille
                        iscl_oen <= iscl_oen; -- keep SCL in same state
382
                        isda_oen <= isda_oen; -- keep SDA in same state
383 31 rherveille
                        sda_chk  <= '0';      -- don't check SDA
384 15 rherveille
 
385 27 rherveille
                     -- start
386
                     when start_a =>
387
                        c_state  <= start_b;
388
                        iscl_oen <= iscl_oen; -- keep SCL in same state (for repeated start)
389
                        isda_oen <= '1';      -- set SDA high
390 31 rherveille
                        sda_chk  <= '0';      -- don't check SDA
391 15 rherveille
 
392 27 rherveille
                     when start_b =>
393
                        c_state  <= start_c;
394
                        iscl_oen <= '1'; -- set SCL high
395
                        isda_oen <= '1'; -- keep SDA high
396 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA
397 15 rherveille
 
398 27 rherveille
                     when start_c =>
399
                        c_state  <= start_d;
400
                        iscl_oen <= '1'; -- keep SCL high
401
                        isda_oen <= '0'; -- set SDA low
402 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA
403 15 rherveille
 
404 27 rherveille
                     when start_d =>
405
                        c_state  <= start_e;
406
                        iscl_oen <= '1'; -- keep SCL high
407
                        isda_oen <= '0'; -- keep SDA low
408 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA
409 15 rherveille
 
410 27 rherveille
                     when start_e =>
411
                        c_state  <= idle;
412
                        cmd_ack  <= '1'; -- command completed
413
                        iscl_oen <= '0'; -- set SCL low
414
                        isda_oen <= '0'; -- keep SDA low
415 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA
416 15 rherveille
 
417 27 rherveille
                     -- stop
418
                     when stop_a =>
419
                        c_state  <= stop_b;
420 31 rherveille
                        iscl_oen <= '0'; -- keep SCL low
421 27 rherveille
                        isda_oen <= '0'; -- set SDA low
422 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA
423 15 rherveille
 
424 27 rherveille
                     when stop_b =>
425
                        c_state  <= stop_c;
426
                        iscl_oen <= '1'; -- set SCL high
427
                        isda_oen <= '0'; -- keep SDA low
428 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA
429 15 rherveille
 
430 27 rherveille
                     when stop_c =>
431
                        c_state  <= stop_d;
432
                        iscl_oen <= '1'; -- keep SCL high
433
                        isda_oen <= '0'; -- keep SDA low
434 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA
435 15 rherveille
 
436 27 rherveille
                     when stop_d =>
437
                        c_state  <= idle;
438
                        cmd_ack  <= '1'; -- command completed
439
                        iscl_oen <= '1'; -- keep SCL high
440
                        isda_oen <= '1'; -- set SDA high
441 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA
442 15 rherveille
 
443 27 rherveille
                     -- read
444
                     when rd_a =>
445
                        c_state  <= rd_b;
446
                        iscl_oen <= '0'; -- keep SCL low
447
                        isda_oen <= '1'; -- tri-state SDA
448 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA
449 15 rherveille
 
450 27 rherveille
                     when rd_b =>
451
                        c_state  <= rd_c;
452
                        iscl_oen <= '1'; -- set SCL high
453
                        isda_oen <= '1'; -- tri-state SDA
454 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA
455 15 rherveille
 
456 27 rherveille
                     when rd_c =>
457
                        c_state  <= rd_d;
458
                        iscl_oen <= '1'; -- keep SCL high
459
                        isda_oen <= '1'; -- tri-state SDA
460 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA
461 15 rherveille
 
462 27 rherveille
                     when rd_d =>
463
                        c_state  <= idle;
464
                        cmd_ack  <= '1'; -- command completed
465
                        iscl_oen <= '0'; -- set SCL low
466
                        isda_oen <= '1'; -- tri-state SDA
467 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA
468 15 rherveille
 
469 27 rherveille
                     -- write
470
                     when wr_a =>
471
                        c_state  <= wr_b;
472
                        iscl_oen <= '0'; -- keep SCL low
473
                        isda_oen <= din; -- set SDA
474 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA (SCL low)
475 15 rherveille
 
476 27 rherveille
                     when wr_b =>
477
                        c_state  <= wr_c;
478
                        iscl_oen <= '1'; -- set SCL high
479
                        isda_oen <= din; -- keep SDA
480 31 rherveille
                        sda_chk  <= '1'; -- check SDA
481 15 rherveille
 
482 27 rherveille
                     when wr_c =>
483
                        c_state  <= wr_d;
484
                        iscl_oen <= '1'; -- keep SCL high
485
                        isda_oen <= din; -- keep SDA
486 31 rherveille
                        sda_chk  <= '1'; -- check SDA
487 15 rherveille
 
488 27 rherveille
                     when wr_d =>
489
                        c_state  <= idle;
490
                        cmd_ack  <= '1'; -- command completed
491
                        iscl_oen <= '0'; -- set SCL low
492
                        isda_oen <= din; -- keep SDA
493 31 rherveille
                        sda_chk  <= '0'; -- don't check SDA (SCL low)
494 15 rherveille
 
495 27 rherveille
                     when others =>
496 15 rherveille
 
497 27 rherveille
                  end case;
498 24 rherveille
                end if;
499
              end if;
500
            end if;
501 15 rherveille
        end process nxt_state_decoder;
502
 
503
 
504
        -- assign outputs
505
        scl_o   <= '0';
506
        scl_oen <= iscl_oen;
507
        sda_o   <= '0';
508
        sda_oen <= isda_oen;
509
end architecture structural;
510 34 rherveille
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.