OpenCores
URL https://opencores.org/ocsvn/keras_to_fpga/keras_to_fpga/trunk

Subversion Repositories keras_to_fpga

[/] [keras_to_fpga/] [trunk/] [src/] [mnist_mlp/] [weights/] [dense_6_3.txt] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 qaztronic
bf1f856b
2
3da8da02
3
bfa99de1
4
bf08a5cf
5
39c62f2d
6
bb4e855b
7
3e0bd233
8
3e166798
9
3e68e289
10
be354ba0
11
bbddb330
12
3d870697
13
bce445dc
14
bdaa88e1
15
bec197db
16
3d6e60ac
17
bf3849ef
18
3dd92ee7
19
3e6adf6f
20
3d0743cf
21
bd972f68
22
3db4efb2
23
3cdfd643
24
be7a8ccf
25
bf7f8332
26
bf132e4c
27
3e376225
28
beeddb1d
29
3e315fc6
30
bf4ba938
31
bdae1f67
32
3e0ea7e6
33
bebfaf88
34
bf97c0a2
35
3e3f16fa
36
bf554061
37
bf11d446
38
bfad5ebb
39
3d85fef7
40
bf6373d3
41
bdcc7102
42
bf672bfb
43
3dbcac44
44
3dd27f30
45
be8d7ecc
46
bf986452
47
be98a0aa
48
be926c24
49
3d9807b0
50
3da2f10f
51
bd3011cd
52
bf4aa008
53
3dd94a73
54
bdbdb73a
55
bd0b7e9d
56
be50f8e0
57
bf3c739a
58
bf16b2b2
59
3d550a99
60
be9c8847
61
be977b18
62
be6dd8e8
63
3e25d263
64
3d358489
65
bdcd704f

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.