OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [README.md] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 ring0_mipt
# lxp32-cpu
2
 
3
LXP32 is a small and FPGA friendly 32-bit CPU IP core based on a simple, original instruction set. Its key features include:
4
 
5
* portability (described in behavioral VHDL, not tied to any particular vendor);
6
* 3-stage hazard-free pipeline;
7
* 256 registers implemented as a RAM block;
8
* only 30 distinct opcodes;
9
* separate instruction and data buses, optional instruction cache;
10
* WISHBONE compatibility;
11
* 8 interrupts with hardwired priorities;
12
* optional divider.
13
 
14
The LXP32 processor was successfully used in commercial projects, is [well documented](https://github.com/lxp32/lxp32-cpu/raw/develop/doc/lxp32-trm.pdf) and comes with a verification environment.
15
 
16
LXP32 lacks some features of more advanced processors, such as nested interrupt handling, debugging support, floating-point and memory management units. LXP32 ISA (Instruction Set Architecture) does not currently have a C compiler, only assembly based workflow is supported.
17
 
18
Project website: [https://lxp32.github.io/](https://lxp32.github.io/)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.