OpenCores
URL https://opencores.org/ocsvn/lxp32/lxp32/trunk

Subversion Repositories lxp32

[/] [lxp32/] [trunk/] [rtl/] [lxp32_mul16x16.vhd] - Blame information for rev 9

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 9 ring0_mipt
---------------------------------------------------------------------
2
-- A basic parallel 16x16 multiplier with an output register
3
--
4
-- Part of the LXP32 CPU
5
--
6
-- Copyright (c) 2016 by Alex I. Kuznetsov
7
--
8
-- A straightforward behavioral description. Can be replaced
9
-- with a library component wrapper if needed.
10
---------------------------------------------------------------------
11
 
12
library ieee;
13
use ieee.std_logic_1164.all;
14
use ieee.numeric_std.all;
15
 
16
entity lxp32_mul16x16 is
17
        port(
18
                clk_i: in std_logic;
19
                a_i: in std_logic_vector(15 downto 0);
20
                b_i: in std_logic_vector(15 downto 0);
21
                p_o: out std_logic_vector(31 downto 0)
22
        );
23
end entity;
24
 
25
architecture rtl of lxp32_mul16x16 is
26
 
27
begin
28
 
29
process (clk_i) is
30
begin
31
        if rising_edge(clk_i) then
32
                p_o<=std_logic_vector(unsigned(a_i)*unsigned(b_i));
33
        end if;
34
end process;
35
 
36
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.